JP2001127039A - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device

Info

Publication number
JP2001127039A
JP2001127039A JP30232999A JP30232999A JP2001127039A JP 2001127039 A JP2001127039 A JP 2001127039A JP 30232999 A JP30232999 A JP 30232999A JP 30232999 A JP30232999 A JP 30232999A JP 2001127039 A JP2001127039 A JP 2001127039A
Authority
JP
Japan
Prior art keywords
etching
gas
nitride film
film
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP30232999A
Other languages
Japanese (ja)
Inventor
Masayuki Sato
聖幸 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP30232999A priority Critical patent/JP2001127039A/en
Publication of JP2001127039A publication Critical patent/JP2001127039A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PROBLEM TO BE SOLVED: To dissolve the problems in conventional manufacturing method such as not only the flaking of a silicon film but also the drop in selectivity with resist, the peeling of the deposition at one sidewall of an etching chamber, etc., for the selectivity between the silicon film and the nitride film drops when the flow rate of oxygen is increased to raise the drillability in etching of a nitride film, using CHF3/O2/Ar mixed gas for removal of the nitride film for opening of a contact, in the element structure which has a nitride film on the silicon film including a silicon substrate. SOLUTION: When C4F8/CH2F2/Ar/O2 mixed gas is used for etching of a nitride film 4, the etching of the nitride film 4 is accelerated, and also since CHxFY gas is one which is high in deposition effect, the selectivity to a silicon substrate 1 rises, and further the etching can be made continuously in roughly the same etching gas as that for the interlayer oxide film covering the nitride film 4, so stable etching excellent in productivity becomes possible.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、特にシリコン膜の上に窒化膜、酸化膜が形
成された構成の素子に対して接続を取るためのコンタク
トの形成方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly to a method of forming a contact for connecting a device having a structure in which a nitride film and an oxide film are formed on a silicon film.

【0002】[0002]

【従来の技術】近年、半導体装置の分野では益々進行
し、例えば超LSIについてその微細化が進み、コンタ
クト形成工程におけるフォトリソグラフィー技術の目合
わせマージンの確保が困難になってきている。そこで、
コンタクト形成工程においてゲート電極を窒化膜で覆い
窒化膜をストッパー膜としたコンタクトエッチング技術
のセルフアラインコンタクト(SAC)技術が広く用い
られるようなってきた。
2. Description of the Related Art In recent years, in the field of semiconductor devices, progress has been made more and more, for example, ultra-fine LSIs have been miniaturized, and it has become difficult to secure an alignment margin for photolithography technology in a contact forming process. Therefore,
In a contact formation process, a self-aligned contact (SAC) technique of a contact etching technique in which a gate electrode is covered with a nitride film and a nitride film is used as a stopper film has been widely used.

【0003】[0003]

【発明が解決しようとする課題】しかしながら、セルフ
アラインコンタクトプロセスでは、ゲート電極を絶縁膜
である窒化膜で覆い、その上に酸化膜等の層間絶縁膜が
覆っているため、ゲート電極との接続を取るために、コ
ンタクトエッチングにより層間絶縁膜にコンタクトを形
成し、更に窒化膜を除去する必要がある。このコンタク
トエッチング後の窒化膜除去には、従来CHF3/O2
/Ar混合ガス等が用いられてきたが、コンタクトのア
スペクト比が高くなるに従い、窒化膜エッチングステッ
プにおける抜け性を上げるため酸素流量を増加させる必
要があった。そのため、窒化膜エッチング時に露出して
いる容量ポリシリプレートやシリコン基板と窒化膜との
選択性が低下し、容量ポリシリの突き抜けやシリコン基
板の削れのみならず、レジストとの選択性の低下による
コンタクト径の広がり、エッチングチャンバー側壁に堆
積したデポジションの剥がれによるゴミの多発、コンタ
クトエッチングステップと窒化膜エッチングステップの
エッチングガス系の違いによるチャンバー雰囲気の変化
によるプロセスの不安定性が問題であった。
However, in the self-aligned contact process, the gate electrode is covered with a nitride film, which is an insulating film, and an interlayer insulating film such as an oxide film is covered thereon. Therefore, it is necessary to form a contact on the interlayer insulating film by contact etching and remove the nitride film. To remove the nitride film after this contact etching, a conventional CHF3 / O 2
However, as the aspect ratio of the contact becomes higher, it is necessary to increase the oxygen flow rate in order to increase the removability in the nitride film etching step. Therefore, the selectivity between the capacitance polysilicon plate or silicon substrate and the nitride film exposed during the etching of the nitride film is reduced, and not only the penetration of the capacitance polysilicon and the removal of the silicon substrate but also the contact due to the reduced selectivity with the resist. There were problems such as an increase in diameter, generation of dust due to the deposition of deposition deposited on the side walls of the etching chamber, and instability of the process due to a change in a chamber atmosphere due to a difference in an etching gas system between a contact etching step and a nitride film etching step.

【0004】本発明の目的は、窒化膜と酸化膜の積層構
造からなる層間膜のドライエッチングにおいて、レジス
ト及びシリコンとの選択比の向上をすることができ、且
つ、ゴミの発生を抑制しチャンバー雰囲気を変えること
なく高い生産性が可能となる半導体装置の製造方法を提
供することにある。
It is an object of the present invention to improve the selectivity between resist and silicon in dry etching of an interlayer film having a laminated structure of a nitride film and an oxide film, and to suppress generation of dust and reduce the amount of dust. It is an object of the present invention to provide a method for manufacturing a semiconductor device which enables high productivity without changing the atmosphere.

【0005】[0005]

【課題を解決するための手段】本発明の半導体装置の製
造方法は、基板の上方に、下層が窒化膜、上層が酸化膜
からなる積層膜を形成し、第1エッチングガスを用いて
前記酸化膜を選択的にエッチング除去して前記酸化膜に
開口部を形成し、第2エッチングガスを用いて前記開口
部を通して前記窒化膜をエッチング除去する半導体装置
の製造方法であって、前記第2エッチングガスは、Cx
Fyを主反応ガスとした混合ガスからなることを特徴と
し、前記第2エッチングガスのCxFyは、C36、C
46、C48、C58のうちのいずれかのガスであり、
前記第2エッチングガスは、CH22、CH3F、CH3
Br、NH3、C25OH、CH3OHのうちのいずれか
のガスを添加ガスとして含む、或いは、前記第2エッチ
ングガスは、CO、CO2のうちのいずれかのガスを添
加ガスとして含む、というものである。
According to a method of manufacturing a semiconductor device of the present invention, a laminated film including a nitride film as a lower layer and an oxide film as an upper layer is formed above a substrate, and the oxidized film is formed using a first etching gas. A method for manufacturing a semiconductor device, comprising: selectively removing a film to form an opening in the oxide film; and etching and removing the nitride film through the opening using a second etching gas. The gas is Cx
It is characterized by comprising a mixed gas containing Fy as a main reaction gas, wherein CxFy of the second etching gas is C 3 F 6 , C 3
Any one of 4 F 6 , C 4 F 8 , C 5 F 8 ,
The second etching gas may be CH 2 F 2 , CH 3 F, CH 3
Any one of Br, NH 3 , C 2 H 5 OH, and CH 3 OH may be included as an additive gas, or the second etching gas may include any one of CO and CO 2. Is included.

【0006】又、上記半導体装置の製造方法において、
前記第1エッチングガスは、前記第2エッチングガスの
主反応ガスと同じCxFyを主反応ガスとする混合ガス
であり、前記第1エッチングガスは、添加ガスとしてC
O、CO2のうちのいずれかのガスを含み、前記第1エ
ッチングガス及び前記第2エッチングガスは共にAr及
びO2を含む、というものである。
In the above method for manufacturing a semiconductor device,
The first etching gas is a mixed gas containing CxFy as a main reaction gas, which is the same as the main reaction gas of the second etching gas, and the first etching gas is a mixed gas containing CxFy as an additional gas.
O, wherein one of the gas of the CO 2, the first etching gas and the second etching gas includes both Ar and O 2, is that.

【0007】最後に、上記半導体装置の製造方法におい
て、前記第2エッチングガスによるエッチング工程は、
前記第1エッチングガスによるエッチング工程に続いて
前記第1エッチングと同一チャンバー内で連続して行わ
れる、というものである。
Finally, in the above-described method for manufacturing a semiconductor device, the etching step using the second etching gas includes:
Following the etching process using the first etching gas, the first etching is performed continuously in the same chamber as the first etching.

【0008】[0008]

【発明の実施の形態】まず、本発明の第1の実施形態に
ついて、図1(a)〜(c)を用いて説明する。本実施
形態は、酸化タンタル膜等を容量とするDRAMで、コ
ンタクトのアスペクト比が大きい場合のコンタクトエッ
チングを例として示したもので、図1は、コンタクトエ
ッチングチップ前後の半導体装置の製造方法を工程順に
示す断面図である。
DESCRIPTION OF THE PREFERRED EMBODIMENTS First, a first embodiment of the present invention will be described with reference to FIGS. The present embodiment shows an example of contact etching in the case of a DRAM having a tantalum oxide film or the like and a large contact aspect ratio, and FIG. 1 shows a method of manufacturing a semiconductor device before and after a contact etching chip. It is sectional drawing shown in order.

【0009】図1(a)に示すように、シリコン基板1
上にゲート電極を構成するポリシリコンゲート2、タン
グステンシリサイド(WSi)3及びそれを覆う窒化膜
4、さらにシリコン基板1及び窒化膜4を覆う層間酸化
膜5、層間酸化膜5中に設けられる容量を構成する酸化
タンタル(Ta2O5)6、容量ポリシリプレート7と
が形成され、フォトリソグラフィー技法によって、コン
タクト11を有するパターンをフォトレジストに転写し
て、フォトレジスト8を形成する。
As shown in FIG. 1A, a silicon substrate 1
A polysilicon gate 2 constituting a gate electrode thereon, tungsten silicide (WSi) 3 and a nitride film 4 covering the same, an interlayer oxide film 5 covering the silicon substrate 1 and the nitride film 4, and a capacitor provided in the interlayer oxide film 5 Are formed, and a pattern having the contacts 11 is transferred to the photoresist by photolithography to form a photoresist 8.

【0010】次に、図1(b)に示すように、2周波R
IE(Reactive IonEtching)ドラ
イエッチング装置を用いて、フォトレジスト8をマスク
として、層間酸化膜5の一番厚い膜厚分までのエッチン
グ(ジャストエッチング)をC48/CO/Ar/O2
混合ガス9でドライエッチングする。この時の条件は、
圧力5.33Paの下に、C48を20sccm、CO
を40sccm、Arを500sccm、O2を9sc
cm流し、上部電極に27MHz、2200Wの高周波
電力を印加し、基板には800kHz、1400Wの高
周波電力を印加し、上部電極と下部電極との間隔を20
mmに設定し、基板温度を−20℃とした。その結果、
層間酸化膜5のエッチングレートは730nm/mi
n、フォトレジストのエッチングレートは79nm/m
in、層間酸化膜5とフォトレジスト8のエッチング速
度比(選択比)は、約9倍となっている。
Next, as shown in FIG.
Using an IE (Reactive Ion Etching) dry etching apparatus, using the photoresist 8 as a mask, etching (just etching) up to the thickest film thickness of the interlayer oxide film 5 is performed using C 4 F 8 / CO / Ar / O 2.
Dry etching is performed with the mixed gas 9. The condition at this time is
Under a pressure of 5.33 Pa, 20 sccm of C 4 F 8
40 sccm, Ar 500 sccm, O 2 9 sc
cm, a high frequency power of 27 MHz and 2200 W is applied to the upper electrode, a high frequency power of 800 kHz and 1400 W is applied to the substrate, and the distance between the upper electrode and the lower electrode is set to 20 mm.
mm and the substrate temperature was -20 ° C. as a result,
The etching rate of the interlayer oxide film 5 is 730 nm / mi
n, the etching rate of the photoresist is 79 nm / m
In, the etching rate ratio (selection ratio) between the interlayer oxide film 5 and the photoresist 8 is about 9 times.

【0011】上記層間酸化膜のエッチングにおいては、
高アスペクト比のコンタクトへエッチングガスを浸透さ
せるために、混合ガスにCOを添加することが有効であ
る。
In the etching of the interlayer oxide film,
It is effective to add CO to the mixed gas in order to make the etching gas permeate into the contact having a high aspect ratio.

【0012】引き続き、図1(c)に示すように、窒化
膜4をC48/CH22/Ar/O2混合ガス10でド
ライエッチングする。この時の条件は、圧力5.33P
aの下に、C48を10sccm、CH22を20sc
cm、Arを500sccm、O2を15sccm流
し、上部電極に27MHz、2200Wの高周波電力を
印加し、基板には800kHz、1400Wの高周波電
力を印加し、上部電極と下部電極との間隔を20mmに
設定し、基板温度を−20℃とした。その結果、窒化膜
4のエッチングレートは610nm/min、層間酸化
膜5のエッチングレートは570nm/min、フォト
レジストのエッチングレート34nm/min、シリコ
ン基板1及び容量ポリシリプレート7のエッチレートは
3nm/min、窒化膜4と層間酸化膜5のエッチング
速度比(選択比)は約 1.1倍、窒化膜4とシリコン
基板1及び容量ポリシリプレート7のエッチング速度比
(選択比)は約200倍、層間酸化膜5とシリコン基板
1及び容量ポリシリプレート7のエッチング速度比(選
択比)は約190倍となった。また、そのエッチング形
状は異方的なものとなった。
Subsequently, as shown in FIG. 1C, the nitride film 4 is dry-etched with a mixed gas 10 of C 4 F 8 / CH 2 F 2 / Ar / O 2 . The condition at this time is 5.33P pressure.
a, 10 sccm of C 4 F 8 and 20 sc of CH 2 F 2
cm, Ar flow of 500 sccm, O 2 flow of 15 sccm, high frequency power of 27 MHz, 2200 W is applied to the upper electrode, high frequency power of 800 kHz, 1400 W is applied to the substrate, and the distance between the upper electrode and the lower electrode is set to 20 mm. Then, the substrate temperature was set to −20 ° C. As a result, the etching rate of the nitride film 4 is 610 nm / min, the etching rate of the interlayer oxide film 5 is 570 nm / min, the etching rate of the photoresist is 34 nm / min, and the etching rates of the silicon substrate 1 and the capacitance polysilicon plate 7 are 3 nm / min. min, the etching rate ratio (selection ratio) between the nitride film 4 and the interlayer oxide film 5 is about 1.1 times, and the etching rate ratio (selection ratio) between the nitride film 4 and the silicon substrate 1 and the capacitance polysilicon plate 7 is about 200 times. The etching rate ratio (selection ratio) between the interlayer oxide film 5 and the silicon substrate 1 and the capacitance polysilicon plate 7 was about 190 times. Further, the etched shape became anisotropic.

【0013】ここで、窒化膜の層間酸化膜に対するエッ
チング速度比(選択比)は約 1.1倍と低いが、窒化
膜エッチングは、窒化膜除去だけでなく、上記層間酸化
膜のエッチング工程における層間酸化膜のジャストエッ
チングに対する追加エッチング(オーバーエッチング)
の役割をも果たしている。
Here, although the etching rate ratio (selectivity) of the nitride film to the interlayer oxide film is as low as about 1.1 times, the etching of the nitride film is not limited to the removal of the nitride film but also to the etching process of the interlayer oxide film. Additional etching (overetching) to just etching of interlayer oxide film
Also plays the role of.

【0014】本発明の第1の実施形態によれば、窒化膜
のエッチングステップにおいて、フロロカーボンガスに
CHxFyガス等の水素原子を含むガスを添加すること
で、CHxFyのC及びHが窒化膜のNと結合しC−N
及びN−Hが生成されることにより、窒化膜のエッチン
グが促進される。
According to the first embodiment of the present invention, in the etching step of the nitride film, C and H of CHxFy are changed to N of the nitride film by adding a gas containing a hydrogen atom such as CHxFy gas to the fluorocarbon gas. Combined with C-N
And the generation of N—H promote the etching of the nitride film.

【0015】また、CHxFyガスはデポジション効果
が高いガスであるため、シリコンに対しての選択性が向
上すると共に、窒化膜エッチングステップにコンタクト
エッチングステップにおいて使用する層間酸化膜のエッ
チングガス系とほぼ同等のエッチングガス系を用いてい
るため、エッチングチャンバーの雰囲気を変えることな
く安定したエッチングが可能となる。
Further, since the CHxFy gas is a gas having a high deposition effect, the selectivity to silicon is improved, and the etching gas system for the interlayer oxide film used in the contact etching step is substantially the same as the etching gas system for the nitride film etching step. Since the same etching gas system is used, stable etching can be performed without changing the atmosphere of the etching chamber.

【0016】次に、本発明の第2の実施形態について、
図2(a)〜(c)を用いて説明する。本実施形態は、
コンタクトのアスペクト比がさほど大きくないSRAM
の場合のコンタクトエッチングを例として示したもの
で、図2は、コンタクトエッチングチップ前後の半導体
装置の製造方法を工程順に示す断面図である。
Next, a second embodiment of the present invention will be described.
This will be described with reference to FIGS. In this embodiment,
SRAM with not so large contact aspect ratio
FIG. 2 is a cross-sectional view showing a method of manufacturing a semiconductor device before and after a contact etching chip in the order of steps.

【0017】図2(a)に示すように、シリコン基板3
1上にゲート電極を構成するポリシリコンゲート32、
タングステンシリサイド(WSi)33とそれらの側面
を保護するサイドウォール36と、シリコン基板31及
びゲート電極を覆う窒化膜34と、窒化膜34を覆う層
間酸化膜35が形成され、フォトリソグラフィー技法に
よって、コンタクト41を有するパターンをフォトレジ
ストに転写して、フォトレジスト38を形成する。
As shown in FIG. 2A, a silicon substrate 3
A polysilicon gate 32 constituting a gate electrode on 1;
A tungsten silicide (WSi) 33 and side walls 36 for protecting the side surfaces thereof, a nitride film 34 covering the silicon substrate 31 and the gate electrode, and an interlayer oxide film 35 covering the nitride film 34 are formed. The pattern having 41 is transferred to a photoresist to form a photoresist 38.

【0018】次に、図2(b)に示すように、2周波R
IE(Reactive IonEtching)ドラ
イエッチング装置を用いて、フォトレジスト38をマス
クとして、層間酸化膜35の膜厚分までのエッチング
(ジャストエッチング)をC48/Ar/O2混合ガス
プラズマ39でドライエッチングする。この時の条件
は、圧力6.67Paの下に、C48を7sccm、A
rを600sccm、O2を2sccm流し、上部電極
に27MHz、2000Wの高周波電力を印加し、基板
には800kHz、800Wの高周波電力を印加し、上
部電極と下部電極との間隔を24mmに設定し、基板温
度を+20℃とした。その結果、層間酸化膜35のエッ
チングレートは420nm/min、フォトレジストの
エッチングレートは28nm/min、層間酸化膜35
とフォトレジスト38のエッチング速度比(選択比)
は、約15倍、層間酸化膜35と窒化膜34のエッチン
グ速度比(選択比)は約20倍となった。
Next, as shown in FIG.
Using an IE (Reactive Ion Etching) dry etching apparatus, etching (just etching) up to the thickness of the interlayer oxide film 35 is performed with a C 4 F 8 / Ar / O 2 mixed gas plasma 39 using the photoresist 38 as a mask. Etch. The conditions at this time are as follows: under a pressure of 6.67 Pa, 7 sccm of C 4 F 8 and A
r is flowed at 600 sccm, O 2 is flowed at 2 sccm, a high frequency power of 27 MHz and 2000 W is applied to the upper electrode, a high frequency power of 800 kHz and 800 W is applied to the substrate, and a distance between the upper electrode and the lower electrode is set to 24 mm. The substrate temperature was set to + 20 ° C. As a result, the etching rate of the interlayer oxide film 35 is 420 nm / min, the etching rate of the photoresist is 28 nm / min,
Etching rate ratio between photoresist and photoresist 38 (selection ratio)
Is about 15 times, and the etching rate ratio (selectivity) between the interlayer oxide film 35 and the nitride film 34 is about 20 times.

【0019】ここで、層間酸化膜のエッチングにおいて
は、コンタクトのアスペクト比が第1の実施形態ほど高
くなく、混合ガスにCOを添加する必要はない。
Here, in the etching of the interlayer oxide film, the aspect ratio of the contact is not as high as in the first embodiment, and it is not necessary to add CO to the mixed gas.

【0020】引き続き、図2(c)に示すように、窒化
膜34をC48/CH22/Ar/O2混合ガス40で
ドライエッチングする。この時の条件は、圧力5.33
Paの下に、C48を10sccm、CH22を20s
ccm、Arを500sccm、O2を15sccm流
し、上部電極に27MHz、2200Wの高周波電力を
印加し、基板には800kHz、1400Wの高周波電
力を印加し、上部電極と下部電極との間隔を20mmに
設定し、基板温度を+20℃とした。その結果、窒化膜
34のエッチングレートは610nm/min、層間酸
化膜35のエッチングレートは570nm/min、フ
ォトレジストのエッチングレート34nm/min、シ
リコン基板31のエッチレートは3nm/min、窒化
膜34と層間酸化膜35のエッチング速度比(選択比)
は約 1.1倍、窒化膜34とシリコン基板31のエッ
チング速度比(選択比)は約200倍、層間酸化膜35
とシリコン基板31のエッチング速度比(選択比)は約
190倍となった。また、そのエッチング形状は異方的
なものとなった。
Subsequently, as shown in FIG. 2C, the nitride film 34 is dry-etched with a mixed gas 40 of C 4 F 8 / CH 2 F 2 / Ar / O 2 . The condition at this time is a pressure of 5.33.
Under Pa, 10 sccm of C 4 F 8 and 20 s of CH 2 F 2
ccm, Ar flow 500 sccm, O 2 flow 15 sccm, 27 MHz, 2200 W high frequency power is applied to the upper electrode, 800 kHz, 1400 W high frequency power is applied to the substrate, and the distance between the upper electrode and the lower electrode is set to 20 mm. Then, the substrate temperature was set to + 20 ° C. As a result, the etching rate of the nitride film 34 is 610 nm / min, the etching rate of the interlayer oxide film 35 is 570 nm / min, the etching rate of the photoresist is 34 nm / min, the etching rate of the silicon substrate 31 is 3 nm / min. Etching rate ratio (selectivity) of interlayer oxide film 35
Is about 1.1 times, the etching rate ratio (selectivity) between the nitride film 34 and the silicon substrate 31 is about 200 times, and the interlayer oxide film 35
And the etching rate ratio (selection ratio) of the silicon substrate 31 was about 190 times. Further, the etched shape became anisotropic.

【0021】本実施形態においても、第1の実施形態と
同様に、窒化膜のエッチングステップにおける窒化膜エ
ッチングの促進、シリコンに対してのエッチング選択性
の向上、生産性の良いエッチング、が可能となる。
In the present embodiment, similarly to the first embodiment, it is possible to promote the nitride film etching in the nitride film etching step, improve the etching selectivity to silicon, and perform etching with good productivity. Become.

【0022】尚、本発明の第1、2の実施形態において
は窒化膜エッチングの主反応ガスに、C48ガスを用い
たが、C36、C46、C58ガス等のフロロカーボン
ガスから成る群れから選択された少なくとも一種類を反
応ガスに用いても同様の効果が得られる。
In the first and second embodiments of the present invention, C 4 F 8 gas is used as the main reaction gas for etching the nitride film. However, C 3 F 6 , C 4 F 6 and C 5 F 8 are used. The same effect can be obtained even if at least one selected from the group consisting of fluorocarbon gas such as gas is used as the reaction gas.

【0023】また、本発明の第1、2の実施形態におい
ては窒化膜エッチングの添加ガスに、CH22ガスを用
いたがモノフルオロメタン(CH3F)、臭化メチル
(CH3Br)、CxHyOH(C25OH、CH3
H)等の水素原子を含むガスからなる群れから選択され
た少なくとも一種類を添加ガスに用いるか、或いは、一
酸化炭素(CO)や二酸化炭素(CO2)を添加ガスに
用いても同様の効果が得られる。
In the first and second embodiments of the present invention, CH 2 F 2 gas is used as an additive gas for etching a nitride film. However, monofluoromethane (CH 3 F) and methyl bromide (CH 3 Br) are used. ), CxHyOH (C 2 H 5 OH, CH 3 O
The same applies if at least one selected from the group consisting of gas containing hydrogen atoms such as H) is used as an additive gas, or carbon monoxide (CO) or carbon dioxide (CO 2 ) is used as an additive gas. The effect is obtained.

【0024】さらに、本発明の第1、2の実施形態にお
いては層間酸化膜エッチングの主反応ガスにC48を用
いたが、窒化膜エッチングの主反応ガスに合わせて、C
36、C46、C58ガス等のフロロカーボンガスから
成る群れから選択された少なくとも一種類を反応ガスに
用いても同様の効果が得られ、本発明の第1の実施形態
においては添加ガスとしては、一酸化炭素(CO)以外
に二酸化炭素(CO2)を用いても同様の効果が得られ
る。
Further, in the first and second embodiments of the present invention, C 4 F 8 is used as the main reaction gas for etching the interlayer oxide film.
3 F 6, C 4 F 6 , C 5 F 8 similar effect at least one type selected from herd consisting of fluorocarbon gases, such as gases used in the reaction gas is obtained, a first embodiment of the present invention In the above, the same effect can be obtained by using carbon dioxide (CO 2 ) in addition to carbon monoxide (CO) as an additive gas.

【0025】最後に、本発明の第1、2の実施形態にお
いては窒化膜のエッチングステップに上記各実施形態の
構成は単なる例示であり、本発明の半導体装置の製造方
法は、上記構成からなる様々な修正及び変更を加えた半
導体装置の製造方法を含むことは当然である。
Finally, in the first and second embodiments of the present invention, the configuration of each of the above embodiments is merely an example in the step of etching the nitride film, and the method of manufacturing a semiconductor device of the present invention comprises the above configuration. Naturally, the present invention includes a method of manufacturing a semiconductor device with various modifications and changes.

【0026】[0026]

【発明の効果】上述のように、窒化膜及び酸化膜の積層
構造からなる層間絶縁膜のエッチングにおいて、酸化膜
のエッチングにC48/CO/Ar/O2混合ガスを用
い、引き続く窒化膜のエッチングにC48/CH22
Ar/O2混合ガスを用いることにより、窒化膜のエッ
チングが促進されると共に、CHxFyガスはデポジシ
ョン効果が高いガスであるため、シリコン膜に対しての
選択性が向上し、更に、窒化膜エッチングステップにコ
ンタクトエッチングステップにおいて使用する層間酸化
膜のエッチングガス系とほぼ同等のエッチングガス系を
用いているため、エッチングチャンバーの雰囲気を変え
ることなく安定した、生産性の良いエッチングが可能と
なる。
[Effect of the Invention] As described above, in the etching of the interlayer insulating film having a layered structure of nitride film and oxide film, using a C 4 F 8 / CO / Ar / O 2 mixed gas for etching the oxide film, followed nitride C 4 F 8 / CH 2 F 2 /
By using an Ar / O 2 mixed gas, the etching of the nitride film is promoted, and the CHxFy gas is a gas having a high deposition effect, so that the selectivity to the silicon film is improved. Since an etching gas system that is substantially the same as the etching gas system for the interlayer oxide film used in the contact etching step is used in the etching step, stable etching with high productivity can be performed without changing the atmosphere of the etching chamber.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施形態の半導体装置の製造方
法を工程順に示す断面図である。
FIG. 1 is a sectional view illustrating a method of manufacturing a semiconductor device according to a first embodiment of the present invention in the order of steps.

【図2】本発明の第2の実施形態の半導体装置の製造方
法を工程順に示す断面図である。
FIG. 2 is a sectional view illustrating a method of manufacturing a semiconductor device according to a second embodiment of the present invention in the order of steps.

【符号の説明】[Explanation of symbols]

1、31 シリコン半導体基板 2、32 ポリシリコンゲート 3、33 WSi 4、34 窒化膜 5、35 層間酸化膜 6 酸化タンタル 7 容量ポリシリプレート 8、38 フォトレジスト 9、10、39、40 混合ガス 11、41 コンタクト 36 サイドウォール DESCRIPTION OF SYMBOLS 1, 31 Silicon semiconductor substrate 2, 32 Polysilicon gate 3, 33 WSi 4, 34 Nitride film 5, 35 Interlayer oxide film 6 Tantalum oxide 7 Capacitance polysilicon plate 8, 38 Photoresist 9, 10, 39, 40 Mixed gas 11 , 41 contacts 36 sidewalls

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 基板の上方に、下層が窒化膜、上層が酸
化膜からなる積層膜を形成し、第1エッチングガスを用
いて前記酸化膜を選択的にエッチング除去して前記酸化
膜に開口部を形成し、第2エッチングガスを用いて前記
開口部を通して前記窒化膜をエッチング除去する半導体
装置の製造方法であって、前記第2エッチングガスは、
CxFyを主反応ガスとした混合ガスからなることを特
徴とする半導体装置の製造方法。
1. A laminated film comprising a nitride film as a lower layer and an oxide film as an upper layer is formed above a substrate, and the oxide film is selectively etched away using a first etching gas to form an opening in the oxide film. Forming a portion and etching the nitride film through the opening using a second etching gas, wherein the second etching gas comprises:
A method for manufacturing a semiconductor device, comprising a mixed gas containing CxFy as a main reaction gas.
【請求項2】 前記第2エッチングガスのCxFyは、
36、C46、C48、C58のうちのいずれかのガ
スである請求項1記載の半導体装置の製造方法。
2. The CxFy of the second etching gas,
2. The method for manufacturing a semiconductor device according to claim 1, wherein the gas is any one of C 3 F 6 , C 4 F 6 , C 4 F 8 , and C 5 F 8 .
【請求項3】 前記第2エッチングガスは、CH22
CH3F、CH3Br、NH3、C25OH、CH3OHの
うちのいずれかのガスを添加ガスとして含む請求項2記
載の半導体装置の製造方法。
3. The method of claim 2, wherein the second etching gas is CH 2 F 2 ,
3. The method for manufacturing a semiconductor device according to claim 2, wherein any one of CH 3 F, CH 3 Br, NH 3 , C 2 H 5 OH, and CH 3 OH is included as an additional gas.
【請求項4】 前記第2エッチングガスは、CO、CO
2のうちのいずれかのガスを添加ガスとして含む請求項
2記載の半導体装置の製造方法。
4. The method according to claim 1, wherein the second etching gas is CO, CO
3. The method for manufacturing a semiconductor device according to claim 2, wherein any one of the two gases is included as an additional gas.
【請求項5】 前記第1エッチングガスは、前記第2エ
ッチングガスの主反応ガスと同じCxFyを主反応ガス
とする混合ガスである請求項2、3又は4記載の半導体
装置の製造方法。
5. The method for manufacturing a semiconductor device according to claim 2, wherein the first etching gas is a mixed gas using CxFy as a main reaction gas as a main reaction gas of the second etching gas.
【請求項6】 前記第1エッチングガスは、添加ガスと
してCO、CO2のうちのいずれかのガスを含む請求項
5記載の半導体装置の製造方法。
6. The method for manufacturing a semiconductor device according to claim 5, wherein the first etching gas contains any of CO and CO 2 as an additional gas.
【請求項7】 前記第1エッチングガス及び前記第2エ
ッチングガスは共にAr及びO2を含む請求項1、2、
3、4、5又は6記載の半導体装置の製造方法。
7. The method of claim 1, wherein the first etching gas and the second etching gas both include Ar and O 2 .
7. The method for manufacturing a semiconductor device according to 3, 4, 5, or 6.
【請求項8】 前記第2エッチングガスによるエッチン
グ工程は、前記第1エッチングガスによるエッチング工
程に続いて前記第1エッチングと同一チャンバー内で連
続して行われる請求項1、2、3、4、5、6又は7記
載の半導体装置の製造方法。
8. The method according to claim 1, wherein the etching process using the second etching gas is performed continuously in the same chamber as the first etching after the etching process using the first etching gas. 8. The method for manufacturing a semiconductor device according to 5, 6, or 7.
JP30232999A 1999-10-25 1999-10-25 Manufacturing method of semiconductor device Pending JP2001127039A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP30232999A JP2001127039A (en) 1999-10-25 1999-10-25 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP30232999A JP2001127039A (en) 1999-10-25 1999-10-25 Manufacturing method of semiconductor device

Publications (1)

Publication Number Publication Date
JP2001127039A true JP2001127039A (en) 2001-05-11

Family

ID=17907634

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30232999A Pending JP2001127039A (en) 1999-10-25 1999-10-25 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP2001127039A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6518164B1 (en) * 2001-11-30 2003-02-11 United Microelectronics Corp. Etching process for forming the trench with high aspect ratio
JP2003086568A (en) * 2001-09-10 2003-03-20 Tokyo Electron Ltd Method for etching
WO2003028082A1 (en) * 2001-09-10 2003-04-03 Tokyo Electron Limited Etching method
WO2003067643A1 (en) * 2002-02-07 2003-08-14 Tokyo Electron Limited Etching method and etching apparatus
KR100449999B1 (en) * 2002-07-16 2004-09-30 주식회사 하이닉스반도체 Method for fabricating semiconductor device with improved control of etch rate
US6878612B2 (en) * 2002-09-16 2005-04-12 Oki Electric Industry Co., Ltd. Self-aligned contact process for semiconductor device
US7351643B2 (en) 2005-03-16 2008-04-01 Oki Electric Industry Co., Ltd. Method of manufacturing a semiconductor device

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003086568A (en) * 2001-09-10 2003-03-20 Tokyo Electron Ltd Method for etching
WO2003028082A1 (en) * 2001-09-10 2003-04-03 Tokyo Electron Limited Etching method
US6518164B1 (en) * 2001-11-30 2003-02-11 United Microelectronics Corp. Etching process for forming the trench with high aspect ratio
WO2003067643A1 (en) * 2002-02-07 2003-08-14 Tokyo Electron Limited Etching method and etching apparatus
US7189653B2 (en) 2002-02-07 2007-03-13 Tokyo Electron Limited Etching method and etching apparatus
CN100337312C (en) * 2002-02-07 2007-09-12 东京毅力科创株式会社 Etching method and etching apparatus
KR100449999B1 (en) * 2002-07-16 2004-09-30 주식회사 하이닉스반도체 Method for fabricating semiconductor device with improved control of etch rate
US6878612B2 (en) * 2002-09-16 2005-04-12 Oki Electric Industry Co., Ltd. Self-aligned contact process for semiconductor device
US7351643B2 (en) 2005-03-16 2008-04-01 Oki Electric Industry Co., Ltd. Method of manufacturing a semiconductor device

Similar Documents

Publication Publication Date Title
JP5122106B2 (en) Carbon-containing film etching method and semiconductor device manufacturing method using the same
JP2004104098A (en) Semiconductor device utilizing nitride film formed by low-temperature atomic layer deposition for etch-stop layer and method of manufacturing the same
US7537998B2 (en) Method for forming salicide in semiconductor device
JPH11186236A (en) Etching method
JP3088178B2 (en) Polysilicon film etching method
US6458284B1 (en) Method of etching and etch mask
KR100714287B1 (en) Method for forming a pattern of semiconductor device
JP2001127039A (en) Manufacturing method of semiconductor device
JP3063710B2 (en) Method for manufacturing semiconductor device
JP3259529B2 (en) Selective etching method
JP2010098101A (en) Method of manufacturing semiconductor device
JP2007096214A (en) Manufacturing method for semiconductor device
JP2907314B2 (en) Method for manufacturing semiconductor device
JP2005136097A (en) Method of manufacturing semiconductor device
JP2888213B2 (en) Method for manufacturing semiconductor device
JPH11330045A (en) Method for etching laminated film of oxide film and silicon layer
JPH09321024A (en) Manufacture of semiconductor device
KR100431823B1 (en) Forming method of contact in semiconductor device
JPH09116014A (en) Method for manufacturing semiconductor device
JP2001332510A (en) Semiconductor and its manufacturing method
JPH05267246A (en) Manufacture of semiconductor device
JPH08340004A (en) Wiring forming method
KR19990005143A (en) Contact hole formation method of semiconductor device
JPH11307516A (en) Manufacture of semiconductor device
JP2006032801A (en) Semiconductor device manufacturing process

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20021008