JP2011228534A - エッチング方法およびエッチング装置 - Google Patents

エッチング方法およびエッチング装置 Download PDF

Info

Publication number
JP2011228534A
JP2011228534A JP2010098048A JP2010098048A JP2011228534A JP 2011228534 A JP2011228534 A JP 2011228534A JP 2010098048 A JP2010098048 A JP 2010098048A JP 2010098048 A JP2010098048 A JP 2010098048A JP 2011228534 A JP2011228534 A JP 2011228534A
Authority
JP
Japan
Prior art keywords
etching
gas
silicon
containing gas
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010098048A
Other languages
English (en)
Other versions
JP5525319B2 (ja
Inventor
Hisao Yasunami
久夫 安並
Hidenori Toyooka
秀則 豊岡
Kazuo Takada
和男 高田
Akihiko Konno
秋彦 紺野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2010098048A priority Critical patent/JP5525319B2/ja
Publication of JP2011228534A publication Critical patent/JP2011228534A/ja
Application granted granted Critical
Publication of JP5525319B2 publication Critical patent/JP5525319B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

【課題】SFガスとおよびOガスを用いてシリコン及びSOI基板にTrnch又はViaを形成する際に、マスク加工寸法異常やマスク消失を抑制し、かつウエハ面内の形状差を抑制する方法を提供する。
【解決手段】シリコン及びSOI基板2に溝および/または穴を形成するエッチング方法であって、フッ素含有ガスと酸素含有ガスと珪素含有ガスの混合ガスを用いて、プロセスガス導入口4から反応容器1内に導入して混合ガスプラズマを生成し、反応容器1内に設置する基板2を載置する電極(試料台)3内外に温度差を設け、かつ高周波バイアス電源11から高周波電力を時間的に変調した間欠的な高周波のバイアスを印加する。
【選択図】図1

Description

本発明は、半導体基板のエッチング方法およびエッチング装置に係り、特に高アスペクト比の溝および/または穴を形成する加工をドライエッチングによって行うエッチング方法およびエッチング装置に関する。
半導体の集積化に伴い、複数のチップを重ねて接続し、ひとつのチップに集約するなどチップの小型化が進んでいる。従来チップ間の接続はワイヤを用いて接続する方法が用いられてきたが、現在では複数のチップを貫通する電極にて接続する方法が主流となりつつある。この貫通電極を形成するには、シリコン基板やSOI基板に10μm以上の深穴を形成する必要がある。
上記の加工を行うには、シリコン基板における素子間分離やコンタクトホール及びキャパシタ等を形成するために用いられてきたO、ClまたはHBr,CF等の混合ガスによるドライエッチング方法では、Si-CやSi-Brなどエッチングにより発生する反応生成物の揮発性が低いため再付着しやすく、強い堆積性(デポ性)を有するため、シリコンのエッチング速度が小さくなり、スループットが低下する等の問題が発生していた。
この問題を解決するために、SFガス等のフッ素含有ガスとOガスなどの酸素含有ガスの混合ガスを用いた混合ガスプラズマによるドライエッチング方法が提案されている。
例えば、SFとOガスは、それぞれS、F、Oのイオンやラジカルに解離され、シリコン基板表面と反応してSi-FやSi-O,Si-O-Fなどの反応生成物が生成される。Si-FはSiがエッチングされる際に最も多く発生し、FによりSiが引き抜かれることによりSiのエッチングが進行する。
Si-Fのエッチングは、Si-Cl,Si-CやSi-Brなどと比較して、結合エネルギーが大きいため、よりエッチングが進行しやすい。またSi-Fは蒸気圧が高く、物質自体の結合エネルギーも小さく、基板の温度による揮発や入射イオンによって除去されるため、付着量は少ない。
一方、Si-OやSi-O-Fは、不揮発性の反応生成物であるため、側壁へ再付着しやすいことから、側壁の保護膜として形成される。
上記のことから、適度にサイドエッチングを抑制しながら、深さ方向のみの異方性かつ高速なエッチングを可能としている。
また、このドライエッチング方法は、SFガスとOガスの混合ガスによるプラズマで、基板のシリコンとの反応により生成したSi-Oが、比較的薄く処理室内壁に形成されるので、付着物の剥離による異物発生が少なく、ウエット周期を長くできる利点もある。
さらにSFガスで形成された副生成物とOガスによってチャンバー内壁に薄く生成されたSi-Oは、チャンバー部材から発生する金属汚染物質のシリコン基板への飛散を抑制し、基板に形成する半導体デバイスの特性不良を低減する効果がある。
従来のSFガスとOガスを用いた技術では、Fラジカルによるエッチングが主となるため、図2に示すシリコン層21にてサイドエッチング23が大きくなりやすい。22はマスクである。このサイドエッチング23を低減し、深さ方向のエッチングを促進する目的で大量のOが添加され、Si-OやSi-O-Fなどの反応生成物の側壁を保護しながらエッチングが進行していく。
但し、この添加ガスであるOについては、ある一定割合を超えると側壁保護の効果が大きくなりテーパ形状となる。深さ数μmのTrench工程やVia工程ではテーパ角度の影響は小さいものの、深さ数十μmのDT(Deep Trench)やTSV(Through-Silicon Via)については、テーパ角度の影響が大きくなり、その制御性が重要となってくる。
反応生成物による側壁保護方法については、シリコン基板を0度以下に冷却することにより、サイドエッチングやボウイング形状を抑制することが提案されている(例えば、特許文献1参照)。しかしながらアスペクト比20を超え、深さ数十μmのTrenchやViaのエッチングについては、エッチング時間が長くなることにより、シリコン基板を低温にするだけでは、側壁保護が追いつかず、TrenchやViaの上部では付着した反応性生物がエッチングされてしまうため、サイドエッチングやボーイングなどが発生しやすい。
またTrench工程やVia工程で溝又は穴の深さが深くなるとエッチング途中でマスク22が無くなってしまい、開口部の寸法が広がる等の問題が発生しやすい。マスク消失により開口部の寸法が広がるとTrenchやViaの側面が段になり、側壁が荒れた状態になってしまう。形成する目的によっても異なるが、TrenchやViaの内部には導体あるいは絶縁物質が埋め込まれる。
例えば、Trenchを素子分離の目的に利用する場合には、シリコン酸化膜等の絶縁膜がTrench内部に埋め込まれる。しかし、このサイドエッチング23の存在によって、埋め込みが不完全となり酸化膜中にボイドと呼ばれる空孔が形成される。その結果、リーク電流の増大や絶縁耐圧低下により、歩留まりを低下させる問題が発生していた。したがって、このサイドエッチング23の発生を抑制することは、デバイス特性の確保する上で重要な問題となっている。
この問題に対しては、高周波のバイアスを間欠的に印加することにより、酸化膜マスクとの選択比を向上することが提案されている(例えば、特許文献2参照)。しかしながら、TSVはフォトレジストなどの有機膜のマスクが多く、この有機膜に対する問題については解決方法が示されていない。
特開2003-37100号公報 特開2007-059696号公報
本発明の目的は、フッ素含有ガスおよび酸素含有ガスを用いてシリコン基板やSOI基板に深い溝又は穴を形成する際に、マスクのエッチングを最小限に抑制しシリコンのエッチングを行うと同時に、サイドエッチングを最小とするドライエッチング方法およびエッチング装置を提供することにある。
本課題は、エッチングにより生成される反応生成物だけでは側壁保護が足りないため、反応生成物と同じSiを含むガスをフッ素含有ガスと酸素含有ガスに添加することにより解決することができる。またマスクとの選択比についても、RFバイアスを一定間隔で印加しない時間を設けた上で、Si含有ガスを添加しマスク上に反応性生物の堆積させることによって選択比を向上することができる。
本発明のエッチング方法は、SOI基板又はシリコン基盤に溝および/または穴を形成するエッチング方法であって、フッ素含有ガスと酸素含有ガスと珪素含有ガスを用いて混合ガスプラズマを生成し、高周波電力を時間的に変調した間欠的な高周波のバイアスを、前記SOI基板又はシリコン基板に印加することを特徴とする。
また、本発明のエッチング装置は、SOI基板又はシリコン基盤に溝および/または穴を形成するエッチング装置であって、フッ素含有ガスと酸素含有ガスと珪素含有ガスを用いて混合ガスを反応容器に導入し、混合ガスプラズマを生成する混合ガスプラズマ生成手段と、高周波電力を時間的に変調した間欠的な高周波のバイアスを、前記SOI基板又はシリコン基板に印加する高周波バイアス電源とを備えていることを特徴とする。
本発明によれば、TSV等の深穴加工においてマスクの加工寸法異常を抑制するとともに、サイドエッチングを含む側壁の荒れを低減し安定した生産性を確保することができる。
図1は本発明に使用したマイクロ波エッチング装置の反応容器構成の概要を説明する断面図である。 図2は従来法によるシリコン基板に発生するサイドエッチングを説明する断面図である。 図3は本発明で使用したシリコン基板の構造を説明する図である。 図4は本発明で使用したCWバイアスとTMバイアスの波形の比較を示す図である。 図5はシリコン基板に発生するサイドエッチング量に対するSiF流量依存性を説明する図である。 図6は本実施例に対応するViaの深さとマスク削れ量との選択比に対するSiF流量依存性を説明する図である。
以下、本発明の実施の形態について、図面を参照して説明する。
図1は、本発明のエッチング方法を適用するプラズマエッチング装置である。この実施例は、プラズマを形成する手段として、マイクロ波と磁界を利用したマイクロ波プラズマエッチング装置を示したものである。
プラズマエッチング装置は、アルミニウムまたはステンレス鋼等から構成される円筒状の反応容器1を有し、被処理基板(シリコン基板又はSOI基板)2を載置する試料台3が内設されている。また、試料台3は試料台3表面の中心部と外周部の温度を独立に制御できる2冷媒タイプの試料台である。
SF、S10、NF、CF、C等のフロンガス(フッ素含有ガス)、Ar、N2等の不活性ガス、O、CO、CO、SO等の酸化含有ガスやSiF、SiCl等の珪素含有ガスは、図示しないガス流量調整手段(MFC:Mass Flow Controller)やエアーバルブにより、供給流量や供給タイミングが制御され、ガス導入口4から多孔構造の透過窓5を介して均一に被処理基板2表面に供給される。
反応容器1の下方には、図示しないターボ分子ポンプ(TMP)等からなる真空排気手段が配設され、オートプレッシャーコントローラー(APC)等の調圧手段(図省略)により、反応容器1内が所定圧力に保持される。処理後の反応ガスは、真空排気手段を介して、反応容器1外へ排出される。
マグネトロン6で発生したマイクロ波は、導波管7を通して電磁波を透過可能な石英、サファイヤ、窒化アルミニウム、窒化ボロンで構成される誘電体窓8を介して、反応容器1へ導入される。
反応容器1の外周壁には、磁場発生用のソレノイドコイル9が配設され、これにより発生する磁界と、入射されるマイクロ波との相互作用により電子サイクロトロン共鳴(ECR:Electron Cyclotron Resonance)が発生し、高密度プラズマを形成できる構造となっている。
導電性試料台3表面には、静電チャック10が配設され、静電吸着電源17より直流電圧を印加することにより静電吸着力が発生し、被処理基板2が静電チャック10表面に固定される。被処理基板2の周囲には、セラミックスや石英製の絶縁カバー18が配置されている。これら装置の中央に配設される被処理基板2を置載させる構造体を総称して、電極と呼ぶ。
静電チャック10表面には溝が形成され、固定された被処理基板2の裏面と静電チャック10間で形成される流路12に、冷却ガス供給口15よりHe、Ar、O等の冷却ガスを供給し、流路12内を所定圧力に維持できる構造となっている。また静電チャック10に埋設された冷媒循環流路13に、それぞれにチラーユニット14で温度制御された冷媒が循環しており、流路12のガスによるガス伝熱と接触面による熱伝導により、被処理基板2を冷却している。
導電性試料台3には、高周波電力導入ポート16が接続され、400kHzの正弦波電圧またはTMの高周波バイアス電力を印加できる構成となっている。印加される高周波バイアスは、図示しないエッチング装置の制御部より、高周波電力の印加タイミングや印加パワーが制御される。なお、高周波バイアスの電源には、可変周波数電源を用いており、400〜1200kHzの任意の周波数を印加できるようになっている。
TMの高周波バイアス電力を印加するため、高周波電源11には図示しない任意波形発生装置が接続され、印加される高周波電力を時間的に変調して、静電チャック10に間欠的に供給可能となっている。高周波電力を時間的に変調視した間欠的な高周波バイアスのデューティ比、およびその印加する周期等は、図示しないプラズマエッチング装置の制御部に記録されたレシピ条件に従い、任意に制御可能となっている。
反応容器1内には、メンテナンス向上と金属汚染防止対策のために、取り外しが容易な石英製の円筒20およびアルミニウム材にアルマイト等の表面処理を施したカバー19が、電極の周囲に設置されている。
すなわち、本発明にかかるエッチング装置は、反応容器1と、反応容器1内に設けたシリコン又はSOIの基板を載置する電極(試料台)3と、プラズマ生成用マイクロ波電源(マグネトロン)6と、反応容器1の外周壁に設けた磁場発生用ソレノイドコイル9と、反応容器1内に、フッ素含有ガスと酸素含有ガスの混合ガスを供給する処理ガス供給手段4と、電極に載置されたシリコン又はSOIの基板を冷却する冷却手段(冷却ガス供給手段12、15、冷媒循環路13およびチラーユニット14)と電極に高周波バイアスを供給する高周波電源11とを備えた、シリコン又はSOIの被処理基板2に溝および/または穴を形成するエッチング装置において、前記処理ガス供給手段からフッ素含有ガス酸素含有ガスの混合ガスを供給し、前記高周波電源が電極に供給する高周波バイアスを時間的に変調した間欠的な高周波の周波数帯が1kHz〜2MHzであるバイアスを供給するようにし、前記冷却手段が被処理基板2を載置する電極の温度を30〜−60℃の温度に制御するようにした。
さらに、本発明のエッチング装置は、上記エッチング装置において、前記高周波電源が、連続的な高周波電力のバイアスを供給する態様と、時間的に変調した間欠的な高周波電力のバイアスを印加する態様を取ることが可能に構成され、連続的な高周波電力のバイアスを供給した後、時間的に変調した間欠的な高周波電力のバイアスを出力する手段とした。
また本発明のエッチング装置は、上記エッチング装置において前記処理ガス供給手段から供給されるフッ素含有ガスがSF、S10、NF、CF、Cいずれかもしくはこれらの混合ガス、前記酸素含有ガスがO、CO、CO、SOのいずれかもしくはこれらの混合ガス、前期Si含有ガスがSiF、SiClのいずれかもしくはこれらの混合ガスである。
本装置を用いて、シリコン基板のVia工程のエッチング加工を行った。第1の実施例は、SFとOとSiFの条件にてエッチング加工する場合を示したものであり、図3〜図4を用いて詳細を説明する。なお、本実施例では、表1に示すガス流量を中心条件とした。
Figure 2011228534
図1に示す被処理基板2は、図3に示すシリコン基板である。ホトリソグラフィやエッチング処理工程を経て、被処理基板2表面にはフォトレジストのマスクパターン24が形成されている。マスクパターン24は厚さ4.0μm、開口幅約10.0μmの穴パターンが形成されている。
被処理基板2は、図示しない搬送機構により反応容器1内に搬送され、静電チャック10上に設置される。MFCで100〜500CCM(cc(cm3)/min)に流量制御されたArガスを反応容器1内に供給し、容器内をAPCにより0.5〜20Pa(パスカル= 1N/m2)に圧力調整する。400Wのマイクロ波を、導波管を介して反応容器内1に供給してプラズマを形成する。
静電吸着電源より−500Vの直流電圧を印加、プラズマを介して電荷を移動させることにより静電吸着力が発生し、被処理基板2が静電チャック上に固定される。
流路12に冷却ガス供給口15を介してHeを供給し、流路12内の圧力を1〜2kPaに調整する。
静電チャック10との接触面からの熱伝達と、流路12からのガス伝熱により被処理基板2を所定時間放置して中心部:−35℃,外周部:−45℃に冷却する。被処理基板2を所定温度に冷却した後、マイクロ波の印加を停止し、プラズマを停止する。
ウエハの冷却は、ウエハ裏面のHeによって冷却されるが、上述のようなArの放電(ウエハバイアス:0W)がないと、室温からエッチングが開始される。
そのため、表1に示す条件の放電でも約10秒程度である程度まではウエハの温度が低下するが、最初の約10秒は、所定温度より高い温度でエッチングが行われる。
このため、最初の約10秒とはいえ、温度が高い状態でSFを使用しているため、マスク直下のノッチやサイドエッチングの原因となる。
これを防ぐためArの放電(ウエハバイアス:0W)をエッチングに入る前に追加し、静電吸着させることによってウエハを冷却させている。
(実際のところTCR −45℃設定、Ar放電時間30秒で−40℃付近までウエハ温度が低下する。)
Arガスの供給を停止した後、一旦、反応容器1内を真空引し、SF:400CCMとO:60CCMとSiF:25CCMのガスを供給して、反応容器1内をエッチングガスに置換する。反応容器1内は、図示しないAPCにより13.0Paに圧力調整される。
マイクロ波電力:1000Wを印加、反応容器1内に供給しプラズマを生成する。プラズマの着火と安定したことを光学的手段によって確認した後、高周波電力導入ポート16から図示しない任意波形発生装置で変調した400kHzのバイアスを印加する。
図4は、従来の800kHzのCWバイアス波形24と本実施例で使用した400kHzのTMバイアス波形25の例を示したものである。
TM(Time Modulation:時間変調)バイアスでは、単位時間当たりに印加されるバイアス電力を固定し、被処理基板2に印加するバイアスの印加と停止を時間的に変調することが可能となっている。そのため、TMバイアス印加時には、CWバイアスに比べて、高電圧のバイアスが印加され、単位時間当たりの印加電力は同じであっても、高いVppにより高垂直性イオンの生成が可能となっている。
この高周波の印加と停止の総合時間を100%とし、総合時間に対する印加時間の比率をデューティ比と称して、この比率によって高周波バイアスの印加状態が制御される。また、前記総合時間も任意に制御することが可能であり、印加するTMバイアスの周期を120Hz〜2kHzの範囲で制御可能となっている。TMバイアスの周期により高周波バイアスのOFF時間を制御し、副生成物の反応時間、側壁への付着時間を調整可能となっている。
被処理基板2を所定時間、エッチング処理した後、マイクロ波電力の印加を停止し、プラズマの生成を停止する。エッチングガスの供給を停止した後、反応容器1内を真空引し、容器内の反応生成物を排出する。なお、被処理基板2の処理時間は、図示しないエッチング装置の制御部に記録されたレシピ条件に従い制御される。
エッチング加工の過程では、Trench側壁で保護膜となる反応副生成物の付着と脱離が繰返されている。電極温度の低温化により付着確率を向上できる。
図5は、SiFの添加量の依存性を示したもので、SiFの流量を増加することにより、サイドエッチングを抑制することができる。この効果は、SiFを添加することにより、エッチングによりSi表面から発生する反応生成物Si-O,Si-O-F以外に、ガスの形で処理室内に供給されるSiFが、エッチングに寄与するFイオンやFラジカル以外にSi-O,Si-O-Fも生成され、側壁保護として付着することにより、サイドエッチングが抑制されることによる。
また、図6は、同じくSiFの添加量の依存性を示したもので、SiFの流量を増加することにより、フォトレジスト膜とシリコン膜とのエッチングの選択比を向上することができる。この効果は、上記と同じく反応生成物のSi-O,Si-O-FがSiFの流量を増加することにより、マスク上に堆積する量が増加することによる。なおウエハバイアスが印加されていることから、入射イオンによるフォトレジスト膜へのアタックはあるものの、反応生成物の堆積量が増加することにより、全体としてフォトレジスト膜の削れ量を低減することが可能となる。
さらにTMバイアスにて、デューティ比を小さくすることにより、RFバイアスの印加していない時間を長くできる。このことは、反応生成物の付着時間が長くできることを意味すする。反応生成物の付着時間を長くすると堆積量が多くなることから、よりフォトレジスト膜の削れ量を低減することが可能となる。
例えばSiFの流量が0のマスクとシリコンの選択比は141.7に対し、50CCM添加すると166.6となる。本実施例の結果では、マスクとシリコンの選択比は160程度であるが、ガス流量比、TMバイアス電力、TMバイアスの印加周期等の最適化により、選択比300程度まで向上させることが可能である。
また、被処理基板の中心部と外周部を独立に温度制御しているため、被処理基板面内で均一な良好な加工形状を得ることができる。
なお、本実施例では、マイクロ波と磁場を用いたマイクロ波プラズマエッチング装置を例に説明したが、プラズマ生成方法を問わず、例えば、ヘリコン波エッチング装置、誘導結合型エッチング装置、容量結合型エッチング装置、有磁場RIE装置などにも本技術を適用可能であり、同等の効果を得ることができる。
1 反応容器
2 被処理体
3 試料台
4 プロセスガス導入口
5 シャワープレート(多孔構造石英板)
6 マグネトロン
7 導波管
8 石英板
9 ソレノイドコイル
10 静電チャック
11 高周波電源
12 冷却ガス流路
13 冷媒循環流路
14 チラーユニット
15 冷却ガス供給口
16 高周波導入ポート
17 静電吸着電源
18 絶縁カバー
19 金属汚染低減パーツ
20 石英円筒
21 シリコン
22 マスクパターン
23 シリコンのサイドエッチング
24 CWバイアス波形
25 TMバイアス波形

Claims (8)

  1. SOI基板又はシリコン基盤に溝および/または穴を形成するエッチング方法であって、フッ素含有ガスと酸素含有ガスと珪素含有ガスを用いて混合ガスを反応容器に導入して混合ガスプラズマを生成し、高周波電力を時間的に変調した間欠的な高周波のバイアスを、前記SOI基板又はシリコン基板に印加することを特徴とするエッチング方法。
  2. 請求項1に記載のエッチング方法において、前記フッ素含有ガスがSF、S10、NF、CF、Cのいずれかもしくはこれらの2種以上の混合ガスであり、前記酸素含有ガスがO、CO、CO、SOのいずれかもしくはこれらの混合ガスであり、前記珪素含有ガスがSiF、SiClのいずれかもしくはこれらの混合ガスであることを特徴とするエッチング方法。
  3. 請求項1または請求項2に記載のエッチング方法において、前記反応容器内に設置された前記SOI基板又はシリコン基板を載置する電極の温度を0〜−60℃の温度とすることを特徴とするエッチング方法。
  4. 請求項3に記載のエッチング方法において、前記電極内の温度制御部分を2ヵ所以上有し、それぞれの部分の温度を0〜−60℃の温度とすることを特徴とするエッチング方法。
  5. SOI基板又はシリコン基盤に溝および/または穴を形成するエッチング装置であって、フッ素含有ガスと酸素含有ガスと珪素含有ガスを用いて混合ガスを反応容器に導入し、混合ガスプラズマを生成する混合ガスプラズマ生成手段と、高周波電力を時間的に変調した間欠的な高周波のバイアスを、前記SOI基板又はシリコン基板に印加する高周波バイアス電源とを備えていることを特徴とするエッチング装置。
  6. 請求項5に記載のエッチング装置において、前記フッ素含有ガスがSF、S10、NF、CF、Cのいずれかもしくはこれらの2種以上の混合ガスであり、前記酸素含有ガスがO、CO、CO、SOのいずれかもしくはこれらの混合ガスであり、前記珪素含有ガスがSiF、SiClのいずれかもしくはこれらの混合ガスであることを特徴とするエッチング装置。
  7. 請求項5または請求項6に記載のエッチング装置において、前記反応容器内に設置された前記SOI基板又はシリコン基板を載置する電極の温度を0〜−60℃の温度とすることを特徴とするエッチング装置。
  8. 請求項7に記載のエッチング装置において、前記電極内の温度制御部分を2ヵ所以上有し、それぞれの部分の温度を0〜−60℃の温度とすることを特徴とするエッチング装置。
JP2010098048A 2010-04-21 2010-04-21 エッチング方法およびエッチング装置 Active JP5525319B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010098048A JP5525319B2 (ja) 2010-04-21 2010-04-21 エッチング方法およびエッチング装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010098048A JP5525319B2 (ja) 2010-04-21 2010-04-21 エッチング方法およびエッチング装置

Publications (2)

Publication Number Publication Date
JP2011228534A true JP2011228534A (ja) 2011-11-10
JP5525319B2 JP5525319B2 (ja) 2014-06-18

Family

ID=45043547

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010098048A Active JP5525319B2 (ja) 2010-04-21 2010-04-21 エッチング方法およびエッチング装置

Country Status (1)

Country Link
JP (1) JP5525319B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015018876A (ja) * 2013-07-09 2015-01-29 株式会社アルバック 反応装置のコンディショニング方法
JP2018170363A (ja) * 2017-03-29 2018-11-01 東芝メモリ株式会社 半導体装置の製造方法及び半導体装置
CN109449250A (zh) * 2018-10-22 2019-03-08 常州比太黑硅科技有限公司 一种安全可控的太阳能硅片rie制绒工艺

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
WO2010033924A2 (en) * 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
WO2010033924A2 (en) * 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015018876A (ja) * 2013-07-09 2015-01-29 株式会社アルバック 反応装置のコンディショニング方法
JP2018170363A (ja) * 2017-03-29 2018-11-01 東芝メモリ株式会社 半導体装置の製造方法及び半導体装置
CN109449250A (zh) * 2018-10-22 2019-03-08 常州比太黑硅科技有限公司 一种安全可控的太阳能硅片rie制绒工艺

Also Published As

Publication number Publication date
JP5525319B2 (ja) 2014-06-18

Similar Documents

Publication Publication Date Title
KR101744625B1 (ko) 에칭 방법
JP5390846B2 (ja) プラズマエッチング装置及びプラズマクリーニング方法
TWI706460B (zh) 電漿蝕刻方法
KR101811910B1 (ko) 질화규소막에 피처를 에칭하는 방법
JP4593402B2 (ja) エッチング方法およびエッチング装置
US8932947B1 (en) Methods for forming a round bottom silicon trench recess for semiconductor applications
EP2911187A1 (en) Etching method
JP4653603B2 (ja) プラズマエッチング方法
US9852922B2 (en) Plasma processing method
US20060021704A1 (en) Method and apparatus for etching Si
JP6277004B2 (ja) ドライエッチング方法
KR20160132090A (ko) 가스 분배 플레이트 열을 이용한 온도 램핑
US9224616B2 (en) Etching method and plasma processing apparatus
US20190139781A1 (en) Plasma etching method
TW201535494A (zh) 用於電漿切塊半導體晶圓的方法及裝置
EP3046138A1 (en) Etching method
US20140227876A1 (en) Semiconductor device manufacturing method
JP4504684B2 (ja) エッチング方法
JP5525319B2 (ja) エッチング方法およびエッチング装置
US9412607B2 (en) Plasma etching method
JP6579786B2 (ja) プラズマエッチング方法
JP5774356B2 (ja) プラズマ処理方法
KR102660694B1 (ko) 플라스마 처리 방법
EP3046137A1 (en) Etching method
KR101353258B1 (ko) 반도체 소자의 갭필 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130128

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131015

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140401

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140411

R150 Certificate of patent or registration of utility model

Ref document number: 5525319

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350