TW201535494A - 用於電漿切塊半導體晶圓的方法及裝置 - Google Patents

用於電漿切塊半導體晶圓的方法及裝置 Download PDF

Info

Publication number
TW201535494A
TW201535494A TW104100220A TW104100220A TW201535494A TW 201535494 A TW201535494 A TW 201535494A TW 104100220 A TW104100220 A TW 104100220A TW 104100220 A TW104100220 A TW 104100220A TW 201535494 A TW201535494 A TW 201535494A
Authority
TW
Taiwan
Prior art keywords
substrate
workpiece
plasma
intermediate ring
cover ring
Prior art date
Application number
TW104100220A
Other languages
English (en)
Other versions
TWI629718B (zh
Inventor
Rich Gauldin
Dwarakanath Geerpuram
Ken Mackenzie
Thierry Lazerand
David Pays-Volard
Linnell Martinez
Russell Westerman
Gordon M Grivna
Jason Doub
Original Assignee
Plasma Therm Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/201,409 external-priority patent/US9082839B2/en
Application filed by Plasma Therm Llc filed Critical Plasma Therm Llc
Publication of TW201535494A publication Critical patent/TW201535494A/zh
Application granted granted Critical
Publication of TWI629718B publication Critical patent/TWI629718B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Dicing (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本發明提供電漿切塊基板的方法。方法包括:提供具有壁的處理腔室;提供相鄰於處理腔室之壁的電漿來源;在處理腔室裡提供工件支持物;將基板放置在載體支持物上以形成工件;提供插在基板和框架之間的中間環;將工件裝載到工件支持物上;透過電漿來源來產生電漿;以及透過產生的電漿來蝕刻工件。

Description

用於電漿切塊半導體晶圓的方法及裝置
本發明關於從半導體晶圓形成單獨裝置晶片的裝置之使用,並且尤其關於使用電漿蝕刻以使晶圓分離成單獨晶粒的裝置。
半導體裝置製造在呈薄晶圓形式的基板上。雖然通常使用矽作為基板材料,但是也使用其他材料,例如III-V族化合物(舉例而言為GaAs和InP)。於某些情形(舉例而言為製造LED),基板是藍寶石或碳化矽晶圓,上面沉積了半導性材料的薄層。此種基板的直徑範圍從2英吋、3英吋到高達200毫米、300毫米、450毫米,並且存在許多標準(譬如SEMI)來描述此種基板尺寸。
電漿蝕刻設備廣泛用於處理這些基板以生產半導體裝置。此種設備典型而言包括真空腔室,其裝配了高密度電漿來源(例如感應耦合電漿(inductively coupled plasma,ICP))而用於確保有成本效益之製造所必需的高蝕刻速率。為了移除處理期間所產生的熱,基板典型而言夾鉗於受到溫度控制的支持物。加壓流體(典型而言為氣體,例如氦)則維持在基板和支持物之間以提供用於熱轉移的熱傳導路徑。可以使用機械夾鉗機制,其中向下力施加到基板的頂側,雖然由於夾鉗和基板之間的接觸而可以引起污染。當使用機械夾鉗時,由於接觸典型而言是在工件的邊緣,並且加壓流體施 力在工件的背面上,故也可以發生工件彎折。更常而言,靜電夾盤(electrostatic chuck,ESC)用於提供夾鉗力。
已經發展出許多適合要被蝕刻之材料的氣體化學。這些經常採用鹵素(氟、氯、溴或碘)或包含鹵素的氣體,其連同添加的額外氣體以改善蝕刻的品質(舉例而言為蝕刻異向性、遮罩選擇性和蝕刻均勻性)。含氟氣體(例如SF6、F2或NF3)用於在高速率下蝕刻矽。尤其,交替做高速率矽蝕刻步驟和鈍化步驟以控制蝕刻側壁的過程(Bosch或TDM)通常用於將深的特色蝕刻到矽裡。包含氯和溴的氣體通常用於蝕刻III-V族材料。
電漿蝕刻不限於半導性基板和裝置。該技術可以應用於有可用的適合氣體化學來蝕刻基板的任何基板類型。其他基板類型可以包括含碳基板(包括聚合性基板)、陶瓷基板(譬如AlTiC和藍寶石)、金屬基板、玻璃基板和晶粒附接膜。
為了確保有一致的結果、低破裂和容易操作的機器晶圓處理典型而言用於此製程。把手設計成支持晶圓而有最少的接觸,以使可能的污染減到最少並且減少顆粒的產生。一般而言採用單獨邊緣接觸或僅在幾個地方而靠近晶圓邊緣(典型而言在晶圓邊緣的3~6毫米裡)的底側接觸。包括晶圓卡匣、機器手臂的處理方案和處理腔室裡的固定件(包括晶圓支持物和ESC)則設計成處理如之前所注意到的標準晶圓尺寸。
在基板上做製造之後,單獨的裝置(晶粒或晶片)在封裝或用於其他電子電路之前則彼此分離。多年以來,機械手段已經用於分離晶粒彼此。此種機械手段已包括沿著對齊於基板晶軸的刻劃線來破裂晶圓,或者使用高速鑽石鋸而在晶粒之間的區域(道)中來鋸入或鋸穿基板。更近而 言,雷射已經用於幫助刻劃過程。
此種機械晶圓切塊技術所具有的限制影響了這做法的成本效益。沿著晶粒邊緣的剝落和破裂可以減少製造良好的晶粒數目,並且隨著晶圓厚度減少而變得更麻煩。鋸片所消耗的區域(切口)可以大於100微米,其為不可用於晶粒生產的有價值區域。對於包含小晶粒(譬如單獨半導體裝置的晶粒尺寸為500微米×500微米)的晶圓而言,這可以代表大於20%的損失。此外,對於具有許多小晶粒並且因而有許多道的晶圓來說,切塊時間增加並且生產力下降,因為每條道是單獨切割。機械手段也受限於沿著直線來分離並且生產方形或矩形的晶片。這可以不代表底下裝置的拓樸(譬如高功率二極體是圓的),所以直線晶粒格式導致顯著損失可用的基板面積。雷射切塊也具有的限制是在晶粒表面上留下殘餘的材料或在晶粒裡誘發應力。
重要的是注意鋸和雷射切塊二種技術基本上都是序列式操作。因此,隨著裝置尺寸減少,切塊晶圓的時間與晶圓上的總切塊道長度成正比的增加。
最近已經提議電漿蝕刻技術作為分離晶粒和克服這類某些限制的手段。在裝置製造之後,基板以適合的遮罩材料來遮罩,而在晶粒之間留下開放區域。遮罩的基板然後使用反應氣體電漿來處理,該電漿蝕刻晶粒之間所暴露的基板材料。基板的電漿蝕刻可以進行成部分或完全穿過基板。於部分電漿蝕刻的情形,晶粒藉由後續的劈開步驟而分離以留下分離的單獨晶粒。該技術提供許多優於機械切塊的好處:(1)減少破裂和剝落; (2)切口尺度可以減少到遠低於20微米;(3)處理時間不隨著晶粒數目增加而顯著增加;(4)對於更薄的晶圓而言,處理時間有所減少;以及(5)晶粒拓樸不限於直線格式。
在裝置製造之後但在晶粒分離之前,基板可以藉由機械研磨或類似過程而向下薄化到幾百微米的厚度,或者甚至小於一百微米。
在切塊過程之前,基板典型而言安裝在切塊固定件上。這固定件典型而言是由支持黏著膜的剛性框架所組成。要切塊的基板附著於膜。這固定件維持分離的晶粒以供後續下游操作。用於晶圓切塊的多數工具(基於鋸或雷射的工具)乃設計成以這組態來處理基板,並且已經建立許多標準固定件;然而,此種固定件與它們所支持的基板極為不同。雖然此種固定件針對用於目前的晶圓切塊設備而最佳化,但是它們無法在已經設計成處理標準基板的設備中處理。因此,目前的自動電漿蝕刻設備不適合處理固定成要做切塊的基板,並且難以實現電漿蝕刻技術用於晶粒分離所應該具有的好處。
某些群體已經思及使用電漿來從晶圓基板單離化晶粒。美國專利第6,642,127號描述電漿切塊技術,其中在設計成處理矽晶圓的設備中做電漿處理之前,基板晶圓首先經由黏著材料而附接於載體晶圓。這技術提議將要切塊之基板的形式因數調適成相容於標準的晶圓處理設備。雖然這技術允許用標準的電漿設備來切塊晶圓,不過提出的技術將不相容於在切塊操作下游的標準設備。會需要額外的步驟以調適下游的設備或者回復基板形式因數以用於標準的下游設備。
美國專利公開案第2010/0048001號思及使用附著於薄膜並且支持在框架裡的晶圓。然而,於2010/0048001專利案,藉由將遮罩材料附著於晶圓的背面,並且在電漿處理之前使用雷射來界定蝕刻道,而達成遮罩過程。相較於從正面來單離化基板的標準切塊技術,這技術引入額外複雜和昂貴的步驟,這可以否定了電漿切塊的某些優點。它也額外須要使背面遮罩對齊於正面裝置圖案。
因此,需要的是可以用於將半導體基板切塊成單獨晶粒的電漿蝕刻裝置,並且它相容於安裝在帶上並且支持於框架中之處理基板所建立的晶圓切塊技術,並且也相容於標準的正面遮罩技術。
先前技藝都沒有提供本發明所達到的好處。
因此,本發明的目的是提供以下改善:克服先前技藝裝置的不適當,並且對於使用電漿蝕刻裝置來切塊半導體基板的進展有顯著貢獻。
本發明的另一目的是提供電漿切塊基板的方法,該方法包括:提供具有壁的處理腔室;提供相鄰於處理腔室之壁的電漿來源;在處理腔室裡提供工件支持物;將基板放置在載體支持物上以形成工件;提供插在基板和框架之間的中間環;將工件裝載到工件支持物上;透過電漿來源來產生電漿;以及透過產生的電漿來蝕刻工件。
本發明的又一目的是提供電漿切塊基板的方法,該方法包括:提供具有壁的處理腔室;提供相鄰於處理腔室之壁的電漿來源;在處理腔室裡提供工件支持物;將基板放置在載體支持物上以形成工件;提供插在基板和框架之間的中間環;在電漿來源和工件之間提供覆蓋環;將工件裝載到工件支持物上;透過電漿來源來產生電漿;以及透過產生的電漿 來蝕刻工件。
本發明的再一目的是提供電漿切塊基板的方法,該方法包括:提供具有壁的處理腔室;提供相鄰於處理腔室之壁的電漿來源;在處理腔室裡提供工件支持物,該工件支持物具有靜電夾盤;將基板放置在載體支持物上以形成工件;提供插在基板和框架之間的中間環;將工件裝載到工件支持物上;透過電漿來源來產生電漿;以及透過產生的電漿來蝕刻工件。
本發明的另一目的是提供電漿切塊基板的方法,該方法包括:組裝具有剛性框架、中間環、可撓性膜和至少一基板的工件;將該工件轉移到處理腔室裡;將該工件的基板暴露於電漿;藉由移除剛性框架而修改該工件;以及處理該修改的工件。
前面已經勾勒出本發明的某些明顯目的。這些目的應解讀成僅示範所欲發明之某些更明顯的特色和應用。許多其他有利的結果可以藉由以不同方式來應用揭示的發明或者在本揭示的範圍裡修改發明而達成。據此,除了由請求項所界定的本發明範圍以外,配合伴隨圖式來參見本發明的【發明內容】和【實施方式】則可以知道本發明的其他目的以及有更完全的了解。
本發明描述允許電漿切塊半導體基板的電漿處理裝置。在裝置製造和晶圓薄化之後,基板的正面(電路側)使用習用的遮罩技術而遮罩,其保護電路構件並且在晶粒之間留下未保護的區域。基板安裝在薄帶上,薄帶則支持於剛性框架裡。基板/帶/框架組件則轉移到真空處理腔室裡 並且暴露於反應氣體電漿,其中晶粒之間的未保護區域被蝕刻掉。於這過程期間,框架和帶受到保護而免受反應氣體電漿的損傷。該處理留下完全分離的晶粒。在蝕刻之後,基板/帶/框架組件額外暴露於電漿,其從基板表面移除潛在的損害性殘餘物。在將基板/帶/框架組件轉移出處理腔室之後,晶粒使用熟知的技術而從帶上移除,然後視需要做進一步處理(例如封裝)。
本發明的另一特色是提供電漿切塊基板的方法。基板可以具有半導性層(例如矽)以及/或者基板可以具有III-V族層(例如GaAs)。基板可以具有保護層(例如光阻層),其圖案化在基板的電路側上。提供具有壁的處理腔室,而電漿來源相鄰於處理腔室的壁。電漿來源可以是高密度電漿來源。可以提供流體連通於處理腔室的真空幫浦和流體連通於處理腔室的氣體入口。在處理腔室裡提供工件支持物。工件是藉由將基板放置在載體支持物上而形成。工件可以藉由將基板附著於支持膜然後將具有支持膜的基板安裝於框架而形成。支持膜可以具有上表面和下表面。支持膜可以具有聚合物層和/或導電層。支持膜可以是標準的切塊帶。框架可以具有導電層和/或金屬層。工件然後裝載到工件支持物上供電漿處理。射頻(RF)功率來源可以耦合於工件支持物以在工件周圍產生電漿。可以藉由從工件支持物供應加壓氣體(例如氦)到工件而提供在工件和工件支持物之間的熱連通。靜電夾盤可以併入工件支持物裡,藉此靜電夾盤可以將支持膜夾鉗於靜電夾盤。中間環插在基板和框架之間。中間環可以接觸支持膜。中間環可以進一步包括內徑,並且基板可以進一步包括外徑,其中中間環的內徑尺寸大於基板的外徑。中間環可以定位成與基板共平面。中間環可以包 括一或更多件。中間環可以定位在支持膜的上表面上,並且基板可以定位在支持膜的上表面上。處理腔室裡的壓力可以透過真空幫浦而減少,並且過程氣體可以透過氣體入口而引入處理腔室。電漿透過電漿來源而產生,藉此工件是由產生的電漿所處理。可以提供連通於處理腔室而與真空相容的轉移模組。工件可以裝載到與真空相容之轉移模組中的轉移臂上,藉此處理腔室在工件從與真空相容的轉移模組轉移到處理腔室的期間是維持在真空下。
本發明的又一特色是提供電漿切塊基板的方法。基板可以具有半導性層(例如矽)以及/或者基板可以具有III-V族層(例如GaAs)。基板可以具有保護層(例如光阻層),其圖案化在基板的電路側上。提供具有壁的處理腔室,而電漿來源相鄰於處理腔室的壁。電漿來源可以是高密度電漿來源。可以提供流體連通於處理腔室的真空幫浦和流體連通於處理腔室的氣體入口。在處理腔室裡提供工件支持物。工件是藉由將基板放置在載體支持物上而形成。工件可以藉由將基板附著於支持膜然後將具有支持膜的基板安裝於框架而形成。支持膜可以具有上表面和下表面。支持膜可以具有聚合物層和/或導電層。支持膜可以是標準的切塊帶。框架可以具有導電層和/或金屬層。工件然後裝載到工件支持物上供電漿處理。RF功率來源可以耦合於工件支持物以在工件周圍產生電漿。可以藉由從工件支持物供應加壓氣體(例如氦)到工件而在工件和工件支持物之間提供熱連通。靜電夾盤可以併入工件支持物,藉此靜電夾盤可以將支持膜夾鉗於靜電夾盤。覆蓋環可以插在電漿來源和工件之間。中間環插在基板和框架之間。中間環可以不重疊覆蓋環。中間環可以進一步包括內徑,並且基板可以進一步 包括外徑,其中中間環的內徑尺寸大於基板的外徑。中間環可以定位成與基板共平面。中間環可以包括一或更多件。中間環可以定位在支持膜的上表面上,並且基板可以定位在支持膜的上表面上。處理腔室裡的壓力可以透過真空幫浦而減少,並且過程氣體可以透過氣體入口而引入處理腔室。電漿透過電漿來源而產生,藉此工件是由產生的電漿所處理。可以提供連通於處理腔室而與真空相容的轉移模組。工件可以裝載到與真空相容之轉移模組中的轉移臂上,藉此處理腔室在工件從與真空相容的轉移模組轉移到處理腔室的期間是維持在真空下。
本發明的再一特色是提供電漿切塊基板的方法。基板可以具有半導性層(例如矽)以及/或者基板可以具有III-V族層(例如GaAs)。基板可以具有保護層(例如光阻層),其圖案化在基板的電路側上。提供具有壁的處理腔室,而電漿來源相鄰於處理腔室的壁。電漿來源可以是高密度電漿來源。可以提供流體連通於處理腔室的真空幫浦和流體連通於處理腔室的氣體入口。在處理腔室裡提供工件支持物。工件是藉由將基板放置在載體支持物上而形成。工件可以藉由將基板附著於支持膜然後將具有支持膜的基板安裝於框架而形成。支持膜可以具有上表面和下表面。支持膜可以具有聚合物層和/或導電層。支持膜可以是標準的切塊帶。框架可以具有導電層和/或金屬層。工件然後裝載到工件支持物上供電漿處理。RF功率來源可以耦合於工件支持物以在工件周圍產生電漿。可以藉由從工件支持物供應加壓氣體(例如氦)到工件,而在工件和工件支持物之間提供熱連通。靜電夾盤併入工件支持物,藉此靜電夾盤可以將支持膜夾鉗於靜電夾盤。靜電夾盤可以具有至少一夾鉗電極。中間環插在基板和框架之間。中間環可 以重疊靜電夾盤的夾鉗電極。中間環可以完全重疊靜電夾盤的夾鉗電極。中間環可以進一步包括內徑,並且基板可以進一步包括外徑,其中中間環的內徑尺寸大於基板的外徑。中間環可以定位成與基板共平面。中間環可以包括一或更多件。中間環可以定位在支持膜的上表面上,並且基板可以定位在支持膜的上表面上。處理腔室裡的壓力可以透過真空幫浦而減少,並且過程氣體可以透過氣體入口而引入處理腔室。電漿透過電漿來源而產生,藉此工件是由產生的電漿所處理。可以提供連通於處理腔室而與真空相容的轉移模組。工件可以裝載到與真空相容之轉移模組中的轉移臂上,藉此處理腔室在工件從與真空相容的轉移模組轉移到處理腔室的期間是維持在真空下。
本發明的另一特色是提供電漿切塊基板的方法。工件使用剛性框架、中間環、可撓性膜和至少一基板來組裝。基板可以具有半導性層(例如矽)以及/或者基板可以具有Ill-V族層(例如GaAs)。基板可以具有保護層(例如光阻層),其圖案化在基板的電路側上。可撓性膜可以具有聚合物層和/或導電層。可撓性膜可以是標準的切塊帶。框架可以具有導電層和/或金屬層。中間環可以定位成與基板共平面。中間環可以包括一或更多件。中間環可以定位在支持膜的上表面上,並且基板可以定位在支持膜的上表面上。工件然後轉移到處理腔室裡。工件的基板暴露於電漿。在電漿處理之後,藉由移除剛性框架而修改工件。然後,修改的工件送去做下游處理。
前面已經相當廣泛的勾勒出本發明更明顯和重要的特色,以便可以更好了解本發明接下來的詳細敘述,如此則可以更完全體會對於此技藝的貢獻。將在此之後描述本發明的額外特色,其形成本發明之申請專 利範圍的標的。熟於此技藝者應體會可以輕易利用揭示的概念和特定具體態樣來作為修改或設計其他結構的基礎以執行與本發明相同的目的。熟於此技藝者也應理解此種等同的建構不偏離本發明如所附請求項列出的精神和範圍。
100‧‧‧基板、晶圓
101‧‧‧外周
110‧‧‧裝置結構、晶粒
120‧‧‧道區
200‧‧‧保護性材料
300‧‧‧帶、膜
310‧‧‧框架
320‧‧‧工件
400‧‧‧電漿
500‧‧‧晶粒
600‧‧‧真空處理腔室
610‧‧‧氣體入口
620‧‧‧高密度電漿來源
622‧‧‧介電壁
624‧‧‧天線
630‧‧‧工件支持物
637‧‧‧RF功率來源
640‧‧‧RF偏壓功率來源
641、642‧‧‧阻抗匹配網路
650‧‧‧真空幫浦
660‧‧‧覆蓋環
661‧‧‧內周
662、663‧‧‧開口
670‧‧‧靜電夾盤(ESC)
680‧‧‧舉升機制
690‧‧‧穿孔的機械分割物
695‧‧‧穿孔
697‧‧‧電漿
700‧‧‧填充環
800‧‧‧覆蓋環和框架之間的距離
810‧‧‧基板的外徑和框架的內徑之間的距離
820‧‧‧覆蓋環到基板的距離
830‧‧‧覆蓋環的內徑到框架的內徑之距離
1000‧‧‧熱槽
1010‧‧‧泵動孔洞、埠
1100‧‧‧轉移臂
1110‧‧‧對齊固定件
1700‧‧‧密封區域、密封帶、密封表面
1710‧‧‧氦入口孔洞
1720‧‧‧舉升栓孔洞
1730‧‧‧圖案
1800、1810‧‧‧體積或區
2000‧‧‧間隙
2010‧‧‧夾鉗電極
2015‧‧‧淨空
2020‧‧‧大多平坦的表面
2025‧‧‧舉升栓
2030‧‧‧ESC的基板接觸表面
2200‧‧‧重疊
2210‧‧‧圖案間距
2220‧‧‧圖案特色尺寸
2230‧‧‧圖案深度
2240‧‧‧重疊
2250‧‧‧未屏蔽的密封帶區域
2300‧‧‧電絕緣層
2310‧‧‧上電絕緣層
2320‧‧‧夾鉗材料
2330‧‧‧ESC
2340‧‧‧夾鉗電極
2600‧‧‧直徑
2610‧‧‧間距
2620‧‧‧厚度
2700‧‧‧切口
2720、2730‧‧‧相對介電常數不同的材料
2800‧‧‧穿孔的區域
2810‧‧‧非穿孔的區域
2820‧‧‧覆蓋環開口
2830‧‧‧修改過的覆蓋環
2831‧‧‧內周
3100‧‧‧過程控制監視(PCM)結構
3110‧‧‧PCM道
3120‧‧‧道交叉區域
3130‧‧‧道區域
3200‧‧‧遮罩蝕刻輔助特色
3201‧‧‧蝕刻輔助特色群
3300‧‧‧PCM輔助特色
3310‧‧‧間隙
3320‧‧‧帶伸展間隙
3330‧‧‧寬度
3400‧‧‧成群的晶粒
3410‧‧‧切塊道
3500‧‧‧修改過的PCM結構
3600‧‧‧最外面的晶粒
3610‧‧‧晶粒
3620‧‧‧邊緣區域
3625‧‧‧晶粒區域周邊
3700‧‧‧遮罩負載輔助特色
3710‧‧‧圖案間隙
3720‧‧‧負載輔助特色間隙
3730‧‧‧暴露區域
3800‧‧‧從覆蓋環開口到基板周邊的距離
3900‧‧‧從覆蓋環開口到開口裡所包含之基板周邊的距離
4200‧‧‧中間環
4210‧‧‧工件
圖1是半導體基板的俯視圖,其示範由道所分離的單獨裝置;圖2是半導體基板的截面圖,其示範由道所分離的單獨裝置;圖3是安裝於帶和框架之半導體基板的截面圖;圖4是安裝於帶和框架的半導體基板正被電漿過程蝕刻的截面圖;圖5是安裝於帶和框架的分離之半導體裝置的截面圖;圖6是真空處理腔室的截面圖;圖7是晶圓/框架在處理位置的截面圖;圖8是真空處理腔室中之框架和覆蓋環的放大截面圖;圖9是腔室內部的截面圖,而覆蓋環安裝於腔室壁;圖10是腔室內部的截面圖,而覆蓋環安裝於內部熱槽;圖11是半導體基板的俯視圖,其安裝於帶和框架而由轉移臂所支持;圖12是半導體基板的截面圖,其安裝於帶和框架而由轉移臂所支持;圖13是晶圓/框架在轉移位置的截面圖;圖14是篩網的俯視圖;圖15是根據先前技藝之靜電夾盤的俯視圖;圖16是根據先前技藝之多區靜電夾盤的俯視圖; 圖17是根據本發明一具體態樣之靜電夾盤的俯視圖;圖18是根據先前技藝而在靜電夾盤上之基板的截面圖;圖19是根據本發明一具體態樣而在靜電夾盤上之工件的截面圖;圖20是根據本發明一具體態樣之靜電夾盤的截面圖;圖21是根據本發明一具體態樣之靜電夾盤的截面圖;圖22是根據本發明一具體態樣而具有多個基板之工件的俯視圖;圖23a~23c是根據本發明的機械分割物之變化例的截面圖;圖24是根據本發明一具體態樣之蝕刻特色的截面圖;圖25是根據本發明之調整機械分割物的方法流程圖;圖26是根據本發明一具體態樣之靜電夾盤的截面;圖27是根據本發明一具體態樣之靜電夾盤的截面;圖28是根據本發明之覆蓋環的俯視圖;圖29是根據本發明之覆蓋環和ESC的截面;圖30是根據本發明之覆蓋環和ESC的截面;圖31a是根據先前技藝之一群單獨裝置的俯視圖;圖31b是根據先前技藝之一群單獨裝置和過程控制監視物的俯視圖;圖32是根據本發明之一群單獨裝置的俯視圖;圖33是根據本發明之一群單獨裝置和過程控制監視物的俯視圖;圖34是根據本發明之一群單獨裝置和過程控制監視物的俯視圖;圖35是根據本發明之一群單獨裝置和過程控制監視物的俯視圖;圖36是根據先前技藝之半導體基板的俯視圖,其示範由道所分離的單獨裝置; 圖37是根據本發明之半導體基板的俯視圖,其示範由道所分離的單獨裝置;圖38是根據本發明之覆蓋環的俯視圖;圖39是根據本發明之覆蓋環的俯視圖;圖40是根據本發明之覆蓋環的俯視圖;圖41是根據本發明之覆蓋環的俯視圖;圖42是根據本發明一具體態樣之半導體基板的截面圖,其安裝於帶和框架而包含中間環;圖43是根據本發明一具體態樣之腔室內部的截面圖,其具有覆蓋環和工件而包含中間環;圖44是根據本發明一具體態樣之工件的截面圖,其在靜電夾盤上而包含中間環;以及圖45是根據本發明一具體態樣來處理包含中間環之工件的方法流程圖。類似的參考字符在遍及圖式的幾個圖中是指類似的零件。
在裝置製造之後的典型半導體基板示範於圖1。基板(100)的表面上具有許多區域,其包含由道區(120)所分離的裝置結構(110)而允許將裝置結構分離成單獨的晶粒。雖然矽通常使用作為基板材料,但是其他材料因為其特徵而選擇也經常採用。此種基板材料包括砷化鎵和其他III-V族材料或上面已經沉積了半導性層的非半導體基板。進一步基板類型也可以包括絕緣體上矽(silicon-on-insulator,SOI)晶圓和安裝在載體上的半導體晶 圓。雖然上面的範例描述晶粒是由道所分離,不過本發明的諸多方面可以有利的應用於基板上的其他圖案組態。
於本發明,如圖2的截面圖所示,裝置結構(110)然後覆蓋了保護性材料(200),而道區(120)維持成未保護的。這保護性材料(200)可以是光阻,其以熟知的技術來施加和圖案化。某些裝置在最終過程步驟則披覆了保護性介電層(例如二氧化矽或PSG),其跨越整個基板來施加。這可以藉由用光阻來圖案化並且蝕刻介電材料而從道區(120)做選擇性移除,如本工業所熟知的。這留下由介電材料所保護的裝置結構(110)和在於道區(120)中實質未保護的基板(100)。注意於某些情形,檢查晶圓品質的測試特色可以位在道區(120)中。視特定的晶圓製造流程而定,這些測試特色於晶圓切塊過程期間可以受到保護或可以不受保護。雖然示範的裝置圖案顯示成矩形的晶粒,但是這不是必然的,並且單獨的裝置結構(110)可以為任何其他形狀,例如六邊形,而最適合基板(100)的最佳化利用。重要的是注意雖然之前的範例將介電材料視為保護膜,不過本發明可以用廣泛的保護膜來實施,包括半導性和導電性的保護膜。此外,保護層可以由多種材料所構成。也重要的是注意保護膜的某些部分可以是最終裝置結構的整合部分(譬如鈍化介電質、金屬結合墊……)。此外,本發明也可以有利的用於整塊晶圓而不須要具有裝置或裝置結構。一個此種範例可以是半導體基板(矽、III-V族化合物……),其安裝或不安裝在載體上而由界定所要蝕刻之結構的遮罩材料來覆蓋。基板也可以包含具有不同材料性質的至少一額外層,舉例而言像是絕緣層。
基板(100)可加以薄化,典型而言藉由研磨過程來為之,其 將基板厚度從幾百微米減少到與差不多30微米或更小一樣薄。如圖3所示,薄化的基板(100)然後附著於帶(300),其轉而安裝於剛性框架(310)中以形成工件(320)。帶(300)可以是UV釋放帶(譬如UV釋放切塊帶),如此技藝所知的。框架典型而言是金屬或塑膠,雖然也有可能是其他的框架材料。框架(310)可以為任何形狀,包括不對稱的形狀。帶(300)典型而言是由含碳聚合物材料所做成,並且可以額外具有施加到其表面的薄導電層。帶(300)提供支持給薄化的基板(100),否則基板是太脆弱而無法不破裂的處理。應注意圖案化、薄化、然後安裝的次序不重要,並且可以調整該等步驟以最適合所用的特殊裝置、基板和處理設備。重要的是注意雖然前面的範例考慮的是工件(320)的組成是將基板(100)安裝在黏著帶(300)上再轉而附接於框架(310),不過本發明不受限於晶圓和載體的組態。晶圓載體可以由各式各樣的材料所組成。載體於電漿切塊過程期間支持著基板。此外,晶圓不須使用黏著劑而附接於載體--使晶圓維持於載體並且允許基板對陰極有熱連通手段的任何方法便足夠(譬如靜電夾鉗的載體、具有機械夾鉗機制的載體……)。
在將具有帶(300)的基板(100)安裝於切塊框架(310)中之後,工件(320)轉移到真空處理腔室裡。理想而言,轉移模組也在真空下而允許處理腔室於轉移期間保持在真空,以減少處理時間、避免處理腔室暴露於大氣和可能的污染。如圖6所示,真空處理腔室(600)裝配了氣體入口(610)、高密度電漿來源(620)以產生高密度電漿,例如感應耦合電漿(ICP)。ICP來源典型而言是由靠近介電壁(622)的天線(624)所構成。RF能量從天線(624)穿過介電壁(622)而耦合到真空處理腔室裡以形成電漿(697)。高密度電漿來源(620) 典型而言經由阻抗匹配網路(642)而由RF功率來源(637)所供給能量。高密度電漿來源典型而言在差不多100瓦到高達數十千瓦之間的RF功率下操作。RF功率來源(637)典型而言在1千赫茲到200百萬赫茲之間的頻率操作。ICP電漿來源可以包含多於一個天線,如此技藝所知的。真空處理腔室(600)也包含工件支持物(630)以支持工件(320)、RF偏壓功率來源(640)以經由工件支持物(630)而將RF功率耦合到工件(320)、真空幫浦(650)以從處理腔室(600)抽出氣體。RF偏壓功率來源(640)可以經由阻抗匹配網路(641)來耦合以更有效率的將RF能量耦合到工件支持物(630)。於處理期間,基板(100)之未保護的區域(120)使用反應電漿蝕刻過程(400)而被蝕刻掉,如圖4所示。這留下裝置(110)被分離成單獨的晶粒(500),如圖5所示。於本發明的另一具體態樣,基板(100)之未保護的區域(120)使用反應電漿蝕刻過程(400)而被部分蝕刻掉。於這情形,下游操作(例如機械破斷操作)可以用於完全分離晶粒。這些下游方法是此技藝所熟知的。
雖然前面的範例描述本發明搭配高密度電漿(譬如ECR、ICP、迴旋加速器、磁性增進的電漿來源)來使用真空腔室,不過也可能使用廣泛的電漿過程來蝕刻基板之未保護的區域。舉例而言,熟於此技藝者可以想像到本發明在真空腔室中使用低密度電漿來源或者甚至使用在大氣壓力或接近大氣壓力之電漿的變化例。
當工件(基板/帶/框架組件)(320)是在電漿處理的位置時,框架(310)可以受到保護而免於暴露於電漿(400)。暴露於電漿(400)可以引起框架(310)的加熱,其轉而可以引起安裝帶(300)的局部加熱。在差不多高於100℃的溫度,帶(300)的物理性質和其黏著能力可以惡化,並且它將不 再附著於框架(310)。附帶而言,框架(310)暴露於反應電漿氣體可以引起框架(310)的劣化。由於框架(310)典型而言在晶圓切塊之後再次使用,這可以限制框架(310)的有用壽命。框架(310)暴露於電漿(400)也可以不利的影響蝕刻過程:舉例而言,框架材料可以與過程氣體反應,這有效的減少電漿中之過程氣體的濃度,而可以減少基板材料的蝕刻速率,因此增加處理時間。為了保護框架(310),如圖6、7、8所示的保護性覆蓋環(660)定位在框架(310)之上。於一具體態樣,覆蓋環(660)不碰觸框架(310),因為接觸到框架(310)(這會發生於轉移到處理腔室(600)裡的期間)可以產生不想要的顆粒。
於圖8,尺度(800)代表覆蓋環(660)和框架(310)之間的距離。這尺度的範圍可以從大於差不多0.1毫米到小於差不多20毫米,而最佳化數值為4毫米。如果距離(800)太大,則電漿將接觸框架(310)並且可以喪失覆蓋環(660)的好處。
於一具體態樣,覆蓋環(660)受到溫度控制。以沒有冷卻而言,覆蓋環(660)的溫度可以由於暴露於電漿而增加,並且經由熱輻射轉而加熱帶(300)和框架(310),這引起如上所注意到的劣化。對於覆蓋環(660)受到冷卻的情形,完成覆蓋環(660)的冷卻是使它直接接觸冷卻本體,例如圖9所示之處理腔室(600)的壁或圖10所示之位在處理腔室(600)裡的熱槽(1000)。為了確保從覆蓋環(660)適當移除熱到熱槽(1000),覆蓋環(660)應由具有良好導熱性的材料所做成。雖然此種材料包括許多金屬,舉例而言為鋁,但是可以使用其他的導熱材料,例如氮化鋁和其他陶瓷。覆蓋環材料的選擇乃選擇成相容於所用的電漿過程氣體。雖然鋁對於基於氟的過程是令人滿意的,不過當使用基於氯的過程時,替代性材料(例如氮化鋁,或添 加例如氧化鋁的保護性披覆)可以是必要的。覆蓋環(660)於電漿處理期間的操作溫度範圍從約25℃到約350℃。較佳而言,覆蓋環(660)的溫度範圍維持在50℃到90℃,這使對於帶(300)和框架(310)的熱輻射減到最少,並且確保帶(300)維持其機械完整性。替代而言,覆蓋環(660)可以藉由使覆蓋環(660)接觸溫度控制流體而受到溫度控制。這流體可以是液體或氣體。於覆蓋環(660)的溫度是由流體所控制的情形,覆蓋環(660)可以包含許多流體通道以幫助熱轉移。這些流體通道可以在覆蓋環(660)的內部、附接在外部或這二者的某種組合。
於一具體態樣,覆蓋環(660)可以從基板直徑連續延伸到腔室內徑。為了避免泵動傳導性的損失(這可以不利的影響處理腔室(600)裡的壓力控制),多個泵動孔洞(1010)可以添加到覆蓋環(660)以允許過程氣體有足夠的傳導性,而仍提供路徑以從覆蓋環(660)移除熱。於圖9和10,雖然多個孔洞(1010)顯示成安排成特定的幾何型態,但是孔洞(1010)的形狀、密度、尺寸、圖案和對稱性可以變化,此視處理腔室(600)的尺度和所需的泵動傳導性而定。偏好的是孔洞(1010)不重疊帶(300)。於另一具體態樣,孔洞(1010)不重疊工件(320)。
工件(基板/帶/框架組件)(320)是由支持框架(310)和基板(100)的轉移臂(1100)來轉移進出處理腔室(600),如此則框架和基板維持成幾乎共平面,如圖11和12所示。雖然轉移臂(1100)可以支持帶(300)和框架(310)二者或者單獨支持框架(310),但重要的是組件(320)不單獨支持在基板(100)區域之下,因為薄化的基板(100)具有脆弱的天性。轉移臂(1100)具有對齊固定件(1110),其附接到轉移臂而使框架(310)在被轉移到處理腔室(600)裡之前 對齊在可重複的位置。框架(310)也可以藉由半導體處理所熟知的其他技術(譬如光學對齊)而對齊。對齊也可以藉由此種熟知的技術而進行在基板(100)上。重要的是工件(基板/帶/框架組件)(320)在放置於處理腔室(600)裡之前對齊,以避免如下解釋的錯過處理。
於圖8,基板到框架的尺度(810)代表基板(100)的外徑和框架(310)的內徑之間的距離。這可以為20毫米到30毫米(譬如Disco公司的切塊框架具有約250毫米的內徑而用於200毫米的基板,如此則基板到框架的尺度(810)名義上為25毫米)。在將帶(300)上的晶圓(100)安裝在框架(310)裡的期間,晶圓(100)放置的偏差可以多達2毫米,如此則覆蓋環到基板的距離(820)(其為基板(100)的外徑和覆蓋環(660)的內徑之間距離)也可以隨著不同組件而變化高達2毫米。如果在某些點之覆蓋環到基板的距離(820)小於零,則覆蓋環(660)將重疊基板(100)的邊緣。基板的這區域將被遮蔽而避免受到蝕刻,這可以避免晶粒分離並且在後續處理步驟中引起問題。偏好的是覆蓋環(660)不重疊基板(100)。基板/帶/框架組件(320)在轉移之前須要對齊以避免此種問題。此外,為了額外確保覆蓋環到基板的距離(820)不小於零,覆蓋環的內徑應大於基板(100)的直徑。偏好的是覆蓋環內徑比基板直徑大5毫米(譬如205毫米的覆蓋環內徑用於200毫米的基板)。覆蓋環在圖8中懸伸的尺度(830)代表從覆蓋環(660)的內徑到框架(310)的內徑之距離。框架(310)在轉移到處理腔室(600)裡之前做對齊則確保覆蓋環的懸伸尺度(830)保持繞著基板(100)的整個圓周基本上是固定不變的,並且確保帶(300)之不被靜電夾盤(ESC)(670)所接觸的任何部分是實質遮蔽的而免於電漿。於較佳具體態樣,不與ESC(670)熱接觸的任何帶(300)是由覆蓋環(660)所重 疊。於一具體態樣,覆蓋環(660)和基板(100)位在不同平面。因此,覆蓋環的內周(661)不相鄰於基板的外周(101)。
當工件(譬如基板/帶/框架組件)(320)轉移到處理腔室(600)裡時,它放置到舉升機制(680)上而從轉移臂(1100)移除。相反過程發生於工件(譬如基板/帶/框架組件)(320)轉移出處理腔室(600)的期間。舉升機制(680)觸碰框架(310)的區域並且不提供對於基板(100)的點接觸。對於基板(100)的點接觸可以引起對基板(100)的損傷,尤其是在晶粒分離和工件(320)卸載之後,因為帶(300)的可撓性可以使晶粒彼此接觸而發生損傷。圖13顯示舉升機制(680)從底側來舉升框架(310);然而,框架(310)也可以藉由使用夾鉗裝置來接觸框架(310)的頂面、底面、外徑或這些的任何組合而從轉移臂(1100)移除。為了具有足夠的淨空以將工件(320)放置在工件支持物(630)上來處理基板(100),框架(310)、工件支持物(630)、覆蓋環(660)可以相對於彼此而移動。這可以藉由移動覆蓋環(660)、工件支持物(630)或舉升機制(680)或這三者的任何組合而完成。
於電漿處理期間,熱轉移到電漿碰觸的所有表面,包括基板(100)、帶(300)、框架(310)。雖然覆蓋環(660)將使熱轉移到帶(300)和框架(310)的區域減到最少,但是基板(100)必須暴露於電漿(400)以做處理。
如圖6所示,穿孔的機械分割物(690)可以插在電漿來源(620)和工件支持物(630)之間。機械分割物(690)可以是導電的(譬如由金屬或金屬披覆所做成)。機械分割物(690)較佳而言是由鋁所做成。機械分割物(690)可以幫助減少抵達工件的離子密度以及電漿發射強度,同時允許高程度的中性物種抵達工件。本發明提供對於抵達工件之離子密度和電漿發射強度的 控制。對於本發明有關之應用所偏好的是從電漿來源(620)抵達工件的離子密度和電漿發射強度被機械分割物衰減了10%到50%的範圍。於一較佳具體態樣,機械分割物所做的衰減可以大於10%。於一較佳具體態樣,機械分割物所做的衰減可以大於30%。於又一較佳具體態樣,機械分割物所做的衰減可以大於50%。
於一具體態樣,機械分割物(690)底下的電漿是藉由RF功率供應器(640)經由工件支持物(630)和/或ESC(670)施加RF偏壓功率而維持。於這情形,作用在基板(100)上的電漿是經由工件支持物(630)和/或ESC(670)的RF偏壓功率而維持。
於本發明,機械分割物(690)的溫度範圍可以在0℃和350℃之間。較佳的是維持機械分割物(690)在大於60℃的溫度。機械分割物可以使工件完全或部分分離於電漿來源。較佳的是分割物重疊要蝕刻的基板。於較佳具體態樣,基板(100)被機械分割物(690)完全重疊。於又一具體態樣,機械分割物(690)的直徑比基板(100)的直徑大至少10%。
機械分割物(690)應定位在基板(100)和電漿來源(620)之間。機械分割物(690)可以使電漿來源(620)中的區域完全隔離於其餘的腔室(600)。為了避免機械分割物(690)中的穿孔圖案印刷在基板(100)上,偏好的是從基板頂部到重疊機械分割物(690)之底面的距離是至少和在基板(100)之頂面的電漿鞘一樣大。電漿鞘的厚度尤其是壓力、氣體組成、電漿密度等參數的函數。典型而言,電漿鞘的厚度範圍從差不多100微米到差不多2公分。於一具體態樣,機械分割物(690)離基板(100)的頂面至少0.1毫米。較佳而言,機械分割物(690)離基板(100)的頂面至少1公分。
機械分割物(690)中的穿孔(695)允許電漿擴散穿過而作用在基板上。穿孔(695)可以為任何形狀和尺度(譬如圓形、六邊形、橢圓形、任何多邊形……)。機械分割物的厚度(2620)可以設計成影響作用在基板表面上的電漿物種組成。如圖23c所示,機械分割物(690)的厚度(2620)可以跨越分割物而變化。厚度變化可以是連續的、離散的或此二者的組合。較佳而言,機械分割物的厚度(2620)小於差不多2.5公分。穿孔直徑(2600)的範圍可以從差不多0.1毫米到高達差不多1公分。雖然穿孔(695)的典型深寬比可以在0.5:1到高達100:1之間,但是較佳而言在0.5:1到10:1之間。於一具體態樣,機械分割物(690)使電漿來源裡的電漿離子密度從大於差不多每立方公分1011個減少到接近基板表面的小於差不多每立方公分1010個。
機械分割物(690)中的穿孔(695)可以採取多種方式來安排。圖14顯示機械分割物(690)的俯視圖,其中穿孔(695)的圖案是以直線圖案來均勻分布。雖然圖14顯示穿孔(695)的直線圖案,不過可以使用替代性的組態,包括六邊形、蜂巢形或圓形的穿孔圖案。穿孔的尺度(2600)可以跨越機械分割物(690)而變化(例如圖23b和23c)。
於替代性具體態樣,可以設計機械分割物(690)中的穿孔圖案,使得穿孔之間的間距(2610)是可變化的(譬如圖23b和23c)。於又一具體態樣,穿孔的尺寸和/或形狀可以跨越機械分割物(690)而變化。機械分割物(690)可以具有穿孔圖案,使得穿孔尺寸(2600)和間距(2610)都跨越分割物而變化。
雖然圖6示意顯示處理腔室(600)具有一個機械分割物(690),不過可以有利的是具有多於一個機械分割物(690)而配置在電漿來源 (620)和基板(100)之間。機械分割物(690)可以有相同的尺寸和形狀,或者可以有不同的尺寸和/或形狀。多個機械分割物(690)可以建構在相同的平面或不同的平面(譬如重疊或堆疊的分割物)。多個機械分割物(690)可以具有彼此相同或不同的穿孔形狀、尺寸、圖案。
高密度電漿來源(620)可以產生高程度的UV輻射。這UV輻射可以引起不想要的副反應或損傷基板(100)。於某些應用,想要使基板屏蔽於來自電漿來源(620)的UV發射。一種減少這發射的方式是限制UV發射從電漿來源到基板的直接路徑(譬如限制從電漿來源到基板的「視線」)。於分割物是在不同平面和重疊的情形,可以有利的是確保分割物之重疊區域中的穿孔(695)不重合(譬如分割物具有某些重疊區域,其中分割物的穿孔彼此不重疊)。於重疊之分割物(695)的一具體態樣,分割物之重疊區域中的至少一穿孔(695)不重疊另一分割物中的穿孔。於重疊之分割物的又一具體態樣,分割物(695)中的穿孔彼此都不重疊。於此組態,從電漿來源所發射而經過分割物的重疊區域以抵達基板的光沒有直接路徑。
機械分割物(690)中之穿孔(695)的圖案可以用於調整基板(100)上的蝕刻均勻性。這調整可以經由變化分割物的厚度(2620)、穿孔尺寸(2600)、穿孔形狀、穿孔間距(2610)或這些因素的任何組合而完成。
為了決定用於機械分割物(690)的正確穿孔(695)組態,對於給定的分割組態可以遵循以下的步驟(見圖25):處理基板、測量至少一晶圓性質(譬如材料蝕刻速率、選擇性比例、特色輪廓……)、基於至少一測量性質來調整機械分割物(690)(譬如分割物間距、從分割物到基板的距離、分割物厚度和/或穿孔尺寸、間距、形狀和/或深寬比……)。處理另一晶圓, 並且如果必要的話則重複該機械分割物(690)以達成所要的一或多個晶圓性質。
典型而言,於化學驅動的電漿蝕刻過程,想要使基板蝕刻速率對遮罩材料蝕刻速率的比例(蝕刻選擇性)達到最大,同時維持想要的特色輪廓。於使用分時多工過程(譬如Bosch過程或DRIE)之矽蝕刻的情形,這經由基板支持物來施加某種最小的RF偏壓功率給基板而完成以便維持想要的特色輪廓。典型而言,這RF偏壓功率小於差不多50瓦。在較高的RF偏壓功率,可以不利的減少蝕刻選擇性(材料蝕刻速率/遮罩蝕刻速率)。當機械分割物放置在高密度電漿來源和基板之間時,可用於蝕刻基板的離子密度則顯著減少。相較於先前技藝,這允許較高的RF偏壓功率有利的施加到基板。以電漿來源和基板之間有機械分割物而言,在施加到基板之50瓦到150瓦的RF偏壓功率範圍中可以達成有利的分時多工(譬如Bosch、DRIE)過程結果。於較佳具體態樣,施加到基板的RF偏壓功率大於差不多50瓦。於另一較佳具體態樣,施加到基板的RF偏壓功率大於差不多100瓦。於另一較佳具體態樣,施加到基板的RF偏壓功率大於差不多150瓦。
於電漿處理期間,常常經由使用靜電夾盤(ESC)(670)來提供對基板(100)的額外冷卻。圖15~17顯示ESC(670)的範例,其通常用於半導體處理以施加吸引力給基板(100),同時加壓流體(典型而言為氣體,例如氦)維持於基板(100)和ESC之間的間隙(2000)中。這確保基板(100)和工件支持物(630)之間可以發生有效率的熱轉移,而可以做溫度控制。注意圖15和16為了示範,虛線代表晶圓(100)重疊ESC的區域。於處理期間,晶圓(100)座落在ESC(670)的頂面上。
圖15顯示靜電夾盤的俯視圖,如此技藝所知的。ESC(670)通常將具有一或多個密封區域(1700)以使加壓流體侷限在ESC和被夾鉗的基板(100)之間。密封區域(1700)通常用在靠近ESC的周邊並且在否則會引起加壓流體洩漏和劣化熱轉移的任何特色周圍。某些ESC利用了多個同心密封帶(1700),如圖16所示,以產生離散的體積或區(1800、1810)而允許單獨控制個別區裡的流體壓力。這些ESC通常描述成多壓力區ESC。也可能的是壓力區(1800、1810)不是離散的,並且某些加壓流體在諸區之間洩漏。典型而言不偏好寬的密封區域(1700)。典型而言,跨越工件區域而重疊該寬密封區域的熱梯度可以負面衝擊蝕刻的某些特徵。相對而言,如果密封區域不夠寬,則加壓流體可以洩漏,並且熱轉移可以劣化。如圖15所示,於先前技藝,上述的密封區域或帶(1700)不延伸超過基板(100),因為這麼做會使密封帶(1700)的密封表面暴露於可能是腐蝕性的電漿氣體而可以減少ESC的壽命。圖18顯示在靜電夾盤上之剛性基板(100)的截面圖,如此技藝所知的。注意密封帶(1700)被基板(100)重疊。此外,此技藝中典型的是使基板(100)延伸超過密封表面(1700)的邊緣,以便於晶圓放置在ESC(670)上的期間容納任何的放置誤差。也重要的是注意於先前技藝,用於舉升基板離開ESC的舉升栓孔洞(1720)和舉升栓(2025)也位在基板(100)底下,而在最外面的密封帶(1700)裡面。最後,此技藝所知的ESC具有(多個)夾鉗電極(2010),其侷限於基板(100)底下的區域。因此,夾鉗電極(2010)是在外面密封帶(1700)所界定的區域裡--而此二者都在晶圓周邊內。
圖19顯示本發明一具體態樣的截面圖。當夾鉗可撓性工件(譬如包含帶(300)的工件(320)……)時,較佳的是使至少一夾鉗電極(2010)重 疊密封區域(1700),如圖19所示。當工件的可撓性區域重疊密封區域(1700)時,這尤其重要。夾鉗電極(2010)與可撓性工件(300)的重疊幫助使氦氣洩漏減到最少。較佳而言,這重疊(2200)大於1毫米寬。重疊(2200)可以包括密封帶周邊的內緣、密封帶周邊的外緣、密封帶裡的區域或此三者的某種組合。
於本發明的一具體態樣,夾鉗電極(2010)和密封帶(1700)之重疊所界定的區域形成連續邊界,其環繞著基板(100)。於本發明的另一具體態樣,密封帶(1700)可以被夾鉗電極(2010)完全重疊。
於本發明的又一具體態樣,夾鉗電極(2010)可以重疊覆蓋環(660)。此重疊(2240)典型而言的範圍在差不多1毫米到小於差不多10毫米。於一較佳具體態樣,重疊(2240)小於差不多1毫米。於另一較佳具體態樣,重疊(2240)小於差不多10毫米。重疊(2240)可以為零。
於另一具體態樣,密封帶(1700)的某些部分不被覆蓋環(660)重疊--這未屏蔽的密封帶區域(2250)顯示於圖19。於此組態,偏好的是夾鉗電極(2010)重疊未屏蔽之密封帶區域(2250)的某些部分(譬如密封帶(1700)不被覆蓋環(660)覆蓋)。偏好的是夾鉗電極(2010)與未屏蔽之密封帶區域(2250)的重疊大於差不多1毫米寬。也較佳的是夾鉗電極(2010)與未屏蔽之密封帶區域(2250)的重疊環繞著基板(100)。於一具體態樣,夾鉗電極(2010)重疊著密封帶(1700)的未被覆蓋環(660)所重疊之整個未屏蔽的密封帶區域(2250)。
雖然該(多個)密封區域(1700)典型而言是1毫米到15毫米寬,但是較佳而言小於10毫米。以工件(基板/帶/框架組件)(320)而言,在基板(100)的直徑外面和框架(310)的內徑裡面之區域是帶(300)。
雖然前面範例描述具有單一區ESC和一密封帶的ESC,不過這些具體態樣也可以有利的應用於具有多個壓力區(和多個密封帶)的靜電夾盤。
使用典型的ESC來說,因為覆蓋環(660)大於基板(100)的直徑,所以會有某區域的帶(300)暴露於電漿過程,該區域未被ESC(670)所夾鉗和溫度控制或者被覆蓋環(660)屏蔽於電漿(400)。此種區域的帶(300)會抵達高溫並且可能故障。因此,圖8顯示使用ESC(670),其故意做得比基板直徑大,如此則暴露於區域(譬如覆蓋環到基板之距離(820)所界定的區域)中之電漿的任何帶(300)也被夾鉗和溫度控制。雖然ESC的直徑可以向外延伸到框架(310)的外周,但是ESC的直徑較佳而言要比框架(310)的內徑小至少0.2毫米。對於其他的框架形式因數而言,ESC的直徑較佳而言小於框架中的最大開口。
如圖15所示,如此技藝所知而用於半導體處理的典型ESC在其表面上具有圖案(1730)。圖案化的表面(1730)被基板(100)完全重疊並且是在密封帶(1700)內。氦入口孔洞(1710)是在圖案化的區域(1730)中。圖案典型而言設計成控制特定的ESC特徵,例如但不限於熱轉移、溫度均勻性、氦氣擴散、夾鉗力。圖案也可以設計成使顆粒的產生最少化。如圖18所示,圖案化產生至少一大多平坦的表面(2020),其在ESC的基板接觸表面(2030)之下,因此當夾鉗基板時形成至少一間隙(2000)。這間隙(2000)典型而言填充了加壓流體(例如氦)以幫助熱轉移。
圖19顯示本發明的另一具體態樣。對於像是電漿切塊的應用,其中工件包含可撓性膜(300),較佳的是圖案間距(2210)選擇成使得膜(300) 的變形減到最少。對於電漿切塊而言,在晶粒(110)已經分離(單離化)並且僅由可撓性帶(300)所實質支持之後,這尤其重要。當圖案間距(2210)大於單獨晶粒的至少一尺度(長度和/或寬度,但非厚度)時,晶粒有可能會在分離之後傾斜而彼此接觸,這可能引起晶粒的損傷。於一具體態樣,ESC表面上之圖案所具有的圖案間距(2210)小於最小晶粒尺度(長度和/或寬度)。圖案深度的較佳範圍在小於差不多50微米到小於差不多100微米。於較佳具體態樣,偏好的是圖案深度(2230)小於100微米。於另一較佳具體態樣,偏好的是圖案深度(2230)小於50微米。圖案深度(2230)可以小於15微米。晶粒尺寸的範圍可以從差不多數十微米到高達數公分。
於另一具體態樣,雖然在平行於密封表面之平面上的圖案特色尺寸(2220)可以是在0.1毫米和30毫米之間,但是較佳而言,圖案特色尺寸(2220)是在0.5毫米到10毫米之間。雖然圖案間距(2210)典型而言是至少在平行於密封表面之平面上的圖案特色尺寸(2220),但是較佳而言為該圖案特色尺寸(2220)的至少1.5倍。雖然尺寸用於描述圖案特色的尺度,不過可以使用較小尺度的不同形狀。圖案特色(2220)的尺寸和形狀可以變化。類似而言,圖案特色(2220)之間的圖案間距(2210)也可以在尺寸、形狀、深度上變化。
於另一具體態樣,為了避免晶粒一旦分離後彼此接觸,重疊基板的ESC區域可以設計成具有圖案特色尺寸(2220)和圖案特色間距(2210),使得圖案特色尺寸(2220)和圖案特色間距(2210)都小於要單離化的晶粒。基板(100)可以被ESC的圖案化區域所完全重疊。晶粒尺寸的範圍可以從差不多數十微米到高達幾公分。於一具體態樣,ESC(670)被基板(100)重 疊的表面乃粗糙化。粗糙化可以經由物理(譬如噴珠、噴砂……)或化學手段或此二者的組合而完成。粗糙的表面允許背面冷卻氣體(譬如氦)填充ESC(670)和工件(320)之間的空洞。偏好的是工件(320)底下之ESC表面的粗糙度大於密封環(1700)的粗糙度。密封環區域典型而言具有的表面粗糙度小於差不多10微英吋(Ra)。進一步偏好的是被基板重疊之ESC(670)表面的粗糙度大於差不多12微英吋(Ra)。被基板重疊之ESC(670)表面的粗糙度可以大於差不多30微英吋(Ra)。也偏好的是粗糙化的ESC表面延伸超過基板(100)之周邊的範圍在差不多1毫米到差不多10毫米。進一步偏好的是粗糙化的表面延伸超過基板(100)的周邊至少差不多1毫米。於另一較佳具體態樣,ESC之粗糙化的表面可以從基板的周邊延伸多於差不多10毫米。
於工件(320)包含多於一個基板(100)的情形,如圖22所示,偏好的是ESC(670)延伸超過至少一基板(100)的邊緣--較佳而言延伸超過所有基板(100)的邊緣。為了將冷卻氣體(典型而言為氦)侷限在基板後方,帶(300)必須在靜電夾盤(670)和帶(300)之間形成密封表面。這密封表面常常稱為密封帶(1700)。於一具體態樣,密封表面(1700)是連續的並且形成環繞所有基板(100)的區域。於另一具體態樣,密封帶(1700)可以是不連續的並且環繞至少一基板。於又一具體態樣,每個基板(100)被單獨的密封帶(1700)所環繞。於進一步具體態樣,基板(100)可以重疊(多個)密封帶;或者替代而言,(多個)密封帶可以位在(多個)基板(100)外。
於工件(320)包含多個基板的情形,ESC(670)可以包含單一夾鉗電極(2010)(譬如單極的)或多個夾鉗電極(2010)(譬如多極的)。當多個基板(100)存在於工件(320)上時,偏好的是夾鉗電極(2010)延伸超過工件(320) 上之至少一基板(100)的周邊。較佳而言,夾鉗電極延伸超過工件(320)上之所有基板(100)的周邊之較佳範圍在差不多1毫米到差不多10毫米。偏好的是夾鉗電極延伸超過每個基板(100)的周邊至少1毫米。於另一具體態樣,夾鉗電極(2010)可以延伸超過工件(320)上的每個基板(100)周邊至少10毫米。於另一具體態樣,夾鉗電極(2010)重疊所有的基板(100)。於另一具體態樣,每個基板(100)被夾鉗電極(2010)完全重疊。偏好的是夾鉗電極(2010)在它重疊基板(100)的地方是連續的(譬如沒有切掉)。也偏好的是氦入口孔洞(1710)不被任何基板(100)重疊。氦入口孔洞可以離開任何基板的周邊至少1毫米。
於如圖15和17所示的先前技藝,ESC(670)不被晶圓(100)覆蓋的區域是由填充環(700)所覆蓋而保護免於電漿。相對而言,本發明則是ESC(670)的頂面由帶(300)所保護而免於電漿暴露。填充環(700)可以建構成使得填充環(700)不暴露於電漿。於工件(320)上有多個基板(100)的情形,頂面是由工件(320)中存在的可撓性帶(300)所保護。相對而言,先前技藝的組態則是提供保護蓋在ESC上以保護基板之間的ESC表面免於電漿暴露。
對於ESC(670)包含多於一個夾鉗電極的所有情形(工件(320)上有單一基板或多個基板),偏好的是任何夾鉗電極的邊緣不與基板(100)交叉。進一步偏好的是夾鉗電極的邊緣離開基板(100)的周邊至少1毫米。
當夾鉗工件而接觸ESC的表面是電絕緣體時,較佳的是電絕緣體的相對靜電容率(通常已知為其相對介電常數)大於2。也偏好的是覆蓋ESC之夾鉗電極的電絕緣層具有大於6的相對介電常數,但是典型而言可以大於2。填充間隙(2000)之加壓流體的相對介電常數較佳而言小於任何鄰接電絕緣體的最低相對介電常數。加壓流體的相對介電常數理想而言小 於2。間隙裡的強電場導致強夾鉗力施加在工件的底面上。雖然間隙(2000)中之流體的壓力典型而言在1托耳和100托耳之間,但是較佳而言在1托耳和40托耳之間。
如圖18所示,此技藝已知的是加壓流體可以藉由孔洞(1710)或穿透ESC的特色而引入工件和ESC之間的間隙裡。此技藝已知的是夾鉗電極(2010)在這孔洞或穿透該電極的任何其他特色附近之任何部分被切掉。典型而言,這些孔洞(1710)或穿透特色天生是圓的;因此,電極裡的切掉典型而言有類似的形狀。典型而言採用孔洞(1710)或穿透特色和夾鉗電極(2010)的切掉之間的淨空(2015)以避免加壓流體於操作期間產生電弧或離子化。圖15進一步顯示對於此技藝已知的ESC而言,氣體引入孔洞(1710)典型而言被基板(100)重疊。此外,如圖18所示,於先前技藝的ESC,夾鉗電極(2010)所具有的切掉與孔洞(1710)或穿透特色的周圍有某一距離(2015)。由於孔洞(1710)或穿透特色被基板重疊,故夾鉗電極中的切掉也被基板重疊。
對於離子驅動的蝕刻過程(例如RF功率施加到工件支持物和/或ESC夾鉗電極)而言,電場中的局部扭曲(其源自夾鉗電極和/或工件支持物中的不連續)可以引起電漿鞘的非均勻性。電漿鞘中的非均勻性可以使離子以多變的角度打在晶圓上。打擊的離子將具有角度分布,其受到幾個參數(譬如工件支持物、RF頻率)的影響,這將在底下討論。上面討論由於不連續所造成的鞘扭曲可以使角度分布扭曲、窄化或加寬。當蝕刻特色時,這些效應可以轉移到輪廓,其可以被傾斜、扭曲、彎折或具有側壁劣化。
圖17顯示本發明的另一具體態樣。當使用例如圖3所示的 工件或者基板配合延伸超過基板(100)的ESC(670)而安裝到過大的載體時,偏好的是將孔洞(譬如氦氣入口埠)(1710)放置在基板(100)周邊外。類似而言,偏好的是舉升栓孔洞(1720)位在晶圓(100)周邊外。於一具體態樣(如圖9所示),ESC不包含用於舉升機制(680)的穿透。舉升機制(680)可以在工件支持物(630)的外部。注意於圖17為了示範,虛線代表於晶圓(100)所重疊的ESC區域。於處理期間,工件(320)(因此基板(100))坐落在ESC(670)的頂面上。
如圖19所示,將孔洞(譬如He氣體入口埠)(1710)和舉升栓孔洞(1720)放置在晶圓周邊外則允許基板(100)所重疊的夾鉗電極(2010)是連續的而無切掉(相對於圖18所示的先前技藝)。於較佳具體態樣,夾鉗電極(2010)完全重疊基板。於又一具體態樣,夾鉗電極(2010)完全重疊基板,並且重疊區域大於或等於1.02倍的基板(100)之直徑。於又一具體態樣,夾鉗電極(2010)重疊整個基板(100)並且延伸超過基板(100)的邊緣至少2毫米。較佳而言,夾鉗電極(2010)比基板(100)的直徑大至少差不多40%。
雖然通常使孔洞(1710)和舉升栓孔洞(1720)在基板底下而用於典型的ESC(見圖15和16的先前技藝),但是當至少一夾鉗電極(2010)和/或工件支持物(630)以RF供給功率時,較佳的是不使該等孔洞在晶圓底下。當使用工件(320)和ESC(例如圖19所示者)時,偏好的是RF供給功率之區域(例如工件支持物(630)或夾鉗電極(2010))的直徑大於被蝕刻的基板(100)。源自RF供給功率之區域邊緣的鞘非均勻性可以對蝕刻輪廓造成有害的效應,如上所言,因此偏好的是該RF供給功率的一或多個區域比基板(100)的直徑大至少5%。理想而言,如果RF供給功率的一或多個區域上之厚度和相對介電常數保持大多未改變的,則該RF供給功率之區域的直徑應比基 板(100)大了約40%。RF供給功率的區域可以超過基板(100)的周邊10毫米。
圖26顯示本發明的另一具體態樣。於這具體態樣,ESC(670)重疊框架(310)。於此組態,在密封帶(1700)和框架(310)之間有重疊的區域。框架(310)可以完全重疊密封帶(1700)。圖26示範框架(310)的內徑小於最外面的密封帶(1700)之內徑的情形。重要的是注意最外面之密封帶(1700)的內徑可以小於或等於框架(310)的內徑。此外,圖26顯示ESC建構成用於單一氦背面冷卻區而具有單一密封帶(1700)。本發明也可以有利的應用於具有多個氦冷卻區和/或密封帶的ESC。
圖26也顯示可撓性帶(300)(譬如切塊帶)不完全重疊框架(310)的工件組態。為了保護密封帶表面免於因反應物或副產物而劣化,偏好的是密封帶(1700)不延伸超過可撓性帶(300)的周邊。為了提供夾鉗力給框架(310),也偏好的是夾鉗電極(2010)的某些部分重疊部分的框架(310)。於此組態,框架(310是熱連通於受到溫度控制的工件支持物(630),因而框架(310)可以暴露於電漿。
圖26所示的具體態樣也可以得利於前面具體態樣所述的特色--例外的是覆蓋環(660)。可以有利的應用圖26所示範和描述的具體態樣而不使用覆蓋環。
對於需要RF偏壓的過程而言,為了使在基板(100)表面的電漿鞘波動(其可以導致蝕刻非均勻性)減到最少,偏好的是ESC(670)被基板(100)重疊的區域是均勻的而無穿過ESC(670)的穿透(譬如氦氣入口孔洞(1710)或舉升栓孔洞(1720))。偏好的是氦氣入口(1710)不被基板重疊(譬如氦氣入口(1701)位在基板(100)的外緣)。氦氣入口(1710)必須位在最外面的密封 帶(1700)之內部。偏好的是任何密封帶(1700)不被基板(100)重疊。也偏好的是夾鉗電極(2010)在夾鉗電極被基板(100)所重疊的區域中是連續的。偏好的是夾鉗電極(2010)完全重疊基板(100)。夾鉗電極(2010)可以延伸超過基板(100)的周邊。進一步偏好的是舉升栓(2025)和舉升栓孔洞(1720)位在基板(100)的周邊外。舉升栓可以碰觸框架(310)和/或帶(300)(帶(300)在此重疊框架(310))。於替代性具體態樣,舉升機制可以位在工件支持物(630)外。舉升機制可以從框架(310)的底部、頂部或側面或此三者的某種組合來接觸框架(310)。
圖27顯示本發明的又一具體態樣。這具體態樣可以包含圖26所述的特色而加入了覆蓋環(660)。於此組態,覆蓋環可以重疊並且保護工件(320)的未夾鉗部分而免於電漿。覆蓋環(660)位在電漿來源(620)和工件(320)之間。覆蓋環(660)的內徑可以大於框架(310)的內徑。覆蓋環(660)可以具有埠(1010)以允許增加泵動效率。偏好的是埠(1010)位在工件支持物(630)的外緣。
也重要的是注意圖26和27示範本發明的諸多方面而用於包含單一基板(100)的工件(320)。本發明也可以有利的應用於包含多個基板的工件(320)(譬如圖22所示的工件)。
於本發明圖38所示的另一具體態樣,覆蓋環(660)建構成用於包含二或更多個基板(100)的工件(320)。基板(100)可以有不同的尺寸和/或形狀。基板(100)可以是較大基板(100)中的一件。基板(100)可以包含不同的材料。偏好的是基板是以類似的化學所處理(例如矽和鍺都於含氟化學中蝕刻)。覆蓋環(660)包含至少一開口(662),其使多於一個基板(100)暴露於電 漿。偏好的是覆蓋環(660)不重疊基板(100)。於較佳具體態樣,從覆蓋環開口(662)到基板(100)周邊的距離(3800)是至少0.1毫米。進一步偏好的是從覆蓋環開口(662)到任何基板(100)周邊的距離(3800)是至少0.1毫米。在覆蓋環開口(662)和基板(100)周邊之間的距離(3800)可以大於1毫米。覆蓋環(660)可以是在不同於至少一基板(100)的平面。覆蓋環(660)可以是在不同於所有基板(100)的平面。偏好的是覆蓋環(660)不接觸工件(320)。雖然本具體態樣所述的覆蓋環(660)建構成用於多個基板,不過它可以包含覆蓋環(660)之前面具體態樣所述的特色。
於本發明圖39所示的又一具體態樣,覆蓋環(660)建構成用於包含二或更多個基板(100)的工件(320)。基板(100)可以有不同的尺寸和/或形狀。基板(100)可以是較大基板(100)中的一件。基板(100)可以包含不同的材料。偏好的是基板是以類似的化學所處理(例如矽和鍺都於含氟化學中蝕刻)。覆蓋環包含至少二個開口(663),其允許電漿接觸至少一基板(100)。於較佳具體態樣,每個開口(663)使一基板(100)暴露於電漿。開口(663)可以有不同的尺寸和形狀。偏好的是覆蓋環(660)不重疊基板(100)。於較佳具體態樣,從覆蓋環開口(663)到開口裡所包含之基板(100)周邊的距離(3900)為至少0.1毫米。進一步偏好的是從覆蓋環開口(663)到覆蓋環開口所包含之任何基板(100)周邊的距離(3900)為至少0.1毫米。覆蓋環開口(663)和基板(100)周邊之間的距離(3900)可以大於1毫米。覆蓋環(660)可以是在不同於至少一基板(100)的平面。覆蓋環(660)可以是在不同於所有基板(100)的平面。偏好的是覆蓋環(660)不接觸工件(320)。雖然本具體態樣所述的覆蓋環(660)建構成用於多個基板,不過它可以包含在此所述的覆蓋環之其他具體態樣所述的 特色。
雖然圖26和27顯示工件所具有的框架(310)和基板(100)位在相同的平面(譬如可撓性膜(300)的相同側),不過工件(320)可以建構成使得基板(100)和框架(310)位在膜(300)的相對側上(例如基板的底部附著於帶的頂面,而框架的頂面附著於帶的底面)。所述發明的概念可以有利的應用於這工件組態。
如圖20所示,ESC(2330)由一或更多個電極(2340)所構成,而對此施加高電壓。電位差可以施加在至少一夾鉗電極(2340)和接觸電漿的導電表面(譬如腔室壁(600))之間,或單純在二或更多個夾鉗電極之間。雖然典型施加的夾鉗電位範圍在1伏特和10千伏特之間,但是施加的夾鉗電位較佳而言是在1千伏特和5千伏特之間。對於暴露於2千伏特以下之電漿感應的自我偏壓之夾鉗材料(2320)來說,偏好的是施加的夾鉗電位差大於夾鉗材料(2320)上之電漿感應的自我偏壓。
對於本發明上述所有具體態樣而言,偏好的是基板(100)的背面(譬如基板相對於包含裝置(110)之那一面的表面)面對著可撓性膜(300)(譬如基板(100)的背面可以接觸可撓性膜(300))。於本發明的替代性具體態樣,基板(100)可以安裝在可撓性膜(300)上,使得基板(100)包含裝置(110)的表面面對著可撓性膜(300)(例如基板(100)的裝置側可以接觸可撓性膜(300))。
如圖20所示,夾鉗電極(2340)藉由電絕緣層(2300)而與工件支持物(630)分離,並且藉由上電絕緣層(2310)而與要夾鉗的材料(2320)分離。較佳而言選擇ESC的夾鉗電極上方之上電絕緣層(2310)的厚度和相對介 電常數,以使要夾鉗之絕緣材料(2320)對於夾鉗效能(譬如夾鉗力)所將具有的衝擊減到最小。於本發明,介電質(2310)的厚度和該層(2310)的介電常數選擇成都高於被夾鉗的材料(2320)。舉例而言,ESC之上介電質(2310)的厚度和上介電質(2310)的相對介電常數不須要都高於要夾鉗的材料(2320);而可以操控任一參數,如此則ESC的上介電層(2310)之相對介電常數和厚度的乘積大於要夾鉗的材料(2320)之厚度和介電常數的乘積。雖然上介電絕緣體(2310)的相對介電常數和上介電絕緣體(2310)之厚度的乘積對要夾鉗的材料(2320)之相同乘積的比例較佳而言大於1:1,但是理想而言大於5:1。
圖21顯示另一具體態樣,其中夾鉗電極(2340)沒有插在夾鉗電極(2340)和要夾鉗的材料(2320)之間的電絕緣體。於ESC的夾鉗電極(2340)是暴露的(未被電絕緣體覆蓋)並且夾鉗電極(2340)至少部分接觸要夾鉗的材料(2320)之情形,要夾鉗之材料(2320)的底面(其接觸ESC電極(2340))必須是電絕緣的。
雖然此技藝已知的典型ESC主要是由偶極或單極電極組態所構成,但是也可能有其他的多極組態。電極組態可以根據應用來選擇。於夾鉗絕緣體的情形,典型的是多極夾鉗電極組態;然而,偶極或多極電極組態可以導致在工件的底面上有電荷分離。這表面上的電荷分離可以導致強的殘餘力,其可以使鬆開夾鉗的常規變得更長和更複雜。
於本發明,ESC是針對電絕緣材料的夾鉗和鬆開夾鉗來最佳化,其中接觸ESC頂面的工件表面是由電絕緣體所構成。使用單極類型的ESC以便幫助進行鬆開夾鉗的常規。於單極ESC的情形,側向電荷分離不發生在底面上;底面反而大多是均勻帶電的。由於工件的底面具有大多均 勻的電荷分布,故殘餘力也將大多是均勻的。可以輕易取消這大多均勻的殘餘夾鉗力。典型而言,採用常規以取消殘餘的夾鉗力並且鬆開夾鉗工件。於一常規,施加的夾鉗電壓可以藉由將該電壓設定為電漿感應的自我偏壓而操控。於某些情形,夾鉗電壓可以設定為0伏特或極性相反於用來夾鉗工件之夾鉗電壓極性的最佳設定點。鬆開夾鉗的常規典型而言是在工件已經處理之後才執行。
圖8顯示填充環(700)從ESC(670)的外徑延伸到舉升機制(680)。這填充環(700)用於避免任何暴露帶(300)的背面被電漿接觸。雖然顯示的是分離的填充環(700),但是ESC(670)的延伸也會避免電漿暴露於帶(300)的背面。填充環(700)可以由介電材料所做成,例如陶瓷(譬如氧化鋁)或塑膠材料(譬如聚四氟乙烯(PTFE,鐵氟隆)),其皆因為低導熱度和低導電度而被選擇。雖然偏好的是不直接使未夾鉗的帶暴露於電漿,但是可以容忍某些間接的暴露。
典型而言,於電漿處理期間,想要使離子能量和離子通量解耦以達成特定的蝕刻特徵。藉由採用供給功率的工件支持物和高密度來源(例如ICP),可以達成對離子能量和通量之大多獨立的控制。工件支持物可以藉由直流(DC)或交流(AC)電源而供給功率(譬如被偏壓)。AC偏壓頻率範圍可以從幾千赫茲到幾百個百萬赫茲。低頻典型而言是指在或低於離子電漿頻率的偏壓頻率,並且高偏壓頻率是指高於離子電漿頻率的偏壓頻率。離子電漿頻率則理解為取決於離子的原子數,因此離子電漿頻率將受到電漿化學的影響。此種化學可以是含Cl、HBr、I或F的。於含SF6之電漿的情形,離子電漿頻率為約4百萬赫茲。如圖24所示,當往下蝕刻基板到介 面(其由相對介電常數不同之二材料(譬如圖24的2720和2730,譬如矽在絕緣體上、SOI結構)的接觸所界定)時,熟知的是有與在介面充電相關的蝕刻問題。此種問題可以是電或物裡的,並且通常已知為切口化(例如見圖23的2700)、溝槽化、特色輪廓劣化。典型而言發生這些問題的介面範例是矽在絕緣體上(SOI)、安裝在絕緣載體上的半導體基板、安裝在帶上的半導體晶圓(譬如GaAs、Si)、包含至少一電絕緣層的基板。這些問題對於裝置產出和效能是不利的。舉例而言,當使用分時多工(譬如TDM、DRIE或Bosch)過程來蝕刻矽而停止在絕緣體(譬如SiO2)上時,此技藝已知的是底切(或切口)將發生在矽/絕緣體介面。如此技藝所熟知的,可以藉由在低RF偏壓頻率(低於離子電漿頻率)下操作並且額外脈波化或調變RF偏壓功率而減少此種充電問題,如美國專利第6,187,685號所解釋。注意這685號專利教導的不是使用大於離子電漿頻率(差不多4百萬赫茲)的RF偏壓頻率來蝕刻具有絕緣蝕刻停止的矽。
當高頻RF偏壓配合高密度電漿來源(620)和基板(100)之間的機械分割物(690)來使用時,本發明允許使用大於離子電漿頻率(例如大於差不多4百萬赫茲)的RF偏壓頻率來蝕刻這些結構(譬如SOI)。這組態允許進行基板(100)的處理(譬如蝕刻),同時仍免除或減少發生在介面的損傷(譬如在矽/暴露的絕緣體介面有最小的切口(2700))。較佳而言,RF偏壓頻率為13.56百萬赫茲(ISM頻帶)。
於本發明的一具體態樣,機械分割物(690)配合高頻RF偏壓來使用,該高頻RF偏壓於該過程期間的某些點做脈波化。RF偏壓可以於整個過程期間做脈波化。脈波化的RF偏壓可以在一列脈波中具有至少二個 功率水準--高值和低值。脈波化的RF偏壓可以具有多於二個RF偏壓功率水準。低值可以為零(無RF偏壓功率)。脈波化的RF偏壓水準可以連續的、離散的、或既連續又離散的改變。RF偏壓頻率也可以從大於差不多6百萬赫茲到高達差不多160百萬赫茲。
設備製造商已必須生產他們獨特組態的蝕刻系統以支援應用,例如但是不限於低損傷電漿蝕刻和SOI應用,其典型而言無法用於其他過程而不添加多個電源和/或匹配網路以及有時還有靜電夾盤。頻率在13.56百萬赫茲的電源是工業界所常見的,因為其易獲得性和低成本。本發明盡可能利用此種電源供應器以用於上述的應用,而免除須要添加硬體和/或複雜的硬體組態。
由於在此種低頻下經由厚介電材料的RF耦合沒有效率,故RF對基板(100)的耦合可以經由一或更多個ESC夾鉗電極(2010)來為之,舉例而言經由耦合電容器而非經由RF供給功率的工件支持物(630)。為了維持對基板(100)之均勻的RF耦合,一或多個ESC電極也應均勻的配置在基板(100)後方。如果使用多個電極,則這難以達成,因為電極之間的必要間隙導致RF耦合的局部變化,其不利的影響蝕刻的品質,尤其在基板/帶介面造成底切。ESC設計的較佳具體態樣因此併入了所謂的單極設計,其中單一電極用於提供夾鉗力。
基板可以使用半導體工業所熟知的技術來處理。矽基板一般而言使用基於氟的化學來處理,例如SF6。SF6/O2化學通常用於蝕刻矽,因為它有高速率和異向性輪廓。這化學的缺點在於它對於遮罩材料的相對低選擇性,舉例而言對於光阻為15~20:1。替代而言,可以使用分時多工(timed division multiplex,TDM)過程,其在沉積和蝕刻之間交替以產生高度異向性的深輪廓。舉例而言,蝕刻矽的交替過程使用C4F8步驟以沉積聚合物在矽基板的所有暴露表面上(亦即遮罩表面、蝕刻側壁、蝕刻底板),然後使用SF6步驟以從蝕刻底板選擇性移除聚合物,然後再均向性蝕刻小量的矽。重複諸步驟直到結束。此種TDM過程可以產生異向性特色,其深入矽而對遮罩層的選擇性大於200:1。這遂使TDM過程成為適合電漿分離矽基板的做法。注意本發明不限於使用含氟化學或分時多工(TDM)過程。舉例而言,矽基板也可以用含Cl、HBr或I的化學來蝕刻,如此技藝所知的。
對於III-V族基板(例如GaAs)而言,基於氯的化學乃廣泛用於半導體工業。於RF無線裝置的製造,薄化的GaAs基板以裝置側向下而安裝到載體上,然後它們被薄化並且以光阻來圖案化。GaAs被蝕刻掉以使電接觸暴露於正面電路。這熟知的過程也可以藉由上述發明所述的正面處理而用於分離裝置。其他半導體基板和適合的電漿過程也可以在上述發明中用於分離晶粒。
許多過程需要在基板(100)的表面有離子通量以便達成所要的過程結果(譬如GaAs蝕刻、GaN蝕刻、SiO2蝕刻、SiC蝕刻、石英蝕刻……)。對於基板(100)是部分之工件(320)的情形,處理基板(100)所需的離子通量和/或離子能量常常可以夠高而損傷部分的工件(例如可撓性膜(300))或能導致不想要的副反應--使得保護部分的工件免於電漿變得很重要。相對而言,為了改善過程結果(譬如均勻性、靜電夾鉗效能……),可以想要的是提供某些部分的工件落在基板外緣而接觸電漿--雖然是在低於基板(100)所經歷的離子通量和/或離子能量。需要的是調整不同部分的工件(320)暴露 於不同之電漿離子通量和/或離子能量的手段。
如圖28所示,本發明的另一具體態樣是修改過的覆蓋環(2830),其包含至少一穿孔區域(2800)而允許有從電漿到工件的路徑,其中工件被穿孔區域(2800)重疊。穿孔區域(2800)可以重疊可撓性膜(300)。穿孔區域(2800)可以重疊框架(310)。穿孔區域(2800)可以延伸超過工件(320)。穿孔區域(2800)可以衰減從電漿到工件的部分重疊區域(譬如工件被穿孔區域(2800)所重疊而在基板周緣外的區域)的離子通量達至少10%。於較佳具體態樣,穿孔區域(2800)可以衰減從電漿到工件被穿孔區域(2800)所重疊之部分的離子通量達至少30%。偏好的是穿孔區域(2800)不重疊基板(100)。於一具體態樣,如圖29所示,修改過的覆蓋環(2830)和基板(100)位在不同的平面(譬如非共平面)。因此,覆蓋環的內周(2831)不相鄰於基板(101)的外周。
修改過的覆蓋環(2830)典型而言包含覆蓋環開口(2820),在此基板(100)不被修改過的覆蓋環(2830)重疊。覆蓋環開口(2820)比基板直徑還大的範圍可以從大於差不多0.1毫米到小於差不多20毫米,較佳值在1毫米和4毫米之間。較佳而言,覆蓋環開口(2820)比基板(100)的直徑大2毫米。偏好的是在基板(100)表面的電漿離子密度大於在工件(320)被修改過的覆蓋環(2830)所重疊之表面的電漿離子密度。
於某些情形,可以想要的是使電漿出現於修改過的覆蓋環(2830)之穿孔區域(2800)的某些部分和被修改過的覆蓋環(2830)之穿孔區域(2800)所重疊的工件之間的區域。譬如當使用單極ESC來夾鉗工件被修改過的覆蓋環(2830)重疊之某些部分的區域時,可以想要的是允許電漿在修改過的覆蓋環(2830)的底下。於一具體態樣,為了允許電漿穿透修改過的覆蓋環 (2830)和工件(320)之間的體積,覆蓋環之穿孔區域的底面和工件(320)的頂面之間的距離可以是在1毫米和5公分之間。
修改過的覆蓋環(2830)可以包含至少一區域(2810)是非穿孔的。修改過的覆蓋環(2830)之非穿孔區域(2810)可以避免電漿接觸工件當中非穿孔區域(2810)重疊工件(320)的地方。偏好的是在工件(320)表面而被穿孔區域(2800)重疊處的電漿離子密度大於在工件(320)表面而被非穿孔區域(2810)重疊處的電漿離子密度。也偏好的是在工件(320)表面而在基板(100)的電漿密度大於在工件表面而被修改過的覆蓋環(2830)之(多個)穿孔區域(2800)所重疊處的電漿密度,其轉而大於在工件(320)表面而被修改過的覆蓋環(2830)之(多個)非穿孔區域(2810)所重疊處的電漿密度。在修改過的覆蓋環(2830)之非穿孔區域底下的電漿密度可以為零。
圖29顯示具有穿孔區域(2800)之修改過的覆蓋環(2830)的截面圖。修改過的覆蓋環(2830)可以包含泵動埠(1010)以改善系統傳導性。偏好的是泵動埠(1010)位在工件(320)的外緣。泵動埠可以位在修改過的覆蓋環(2830)之穿孔區域(2800)中、非穿孔區域(2810)中或二者中。偏好的是泵動埠(1010)不重疊工件(320)。
於較佳具體態樣,修改過的覆蓋環(2830)的穿孔區域(2800)重疊著工件(320)被ESC夾鉗電極(2010)所重疊的部分。修改過的覆蓋環(2830)之穿孔區域(2800)可以重疊著工件(320)之未被基板所重疊但被ESC夾鉗電極(2010)所重疊的所有區域。所有的穿孔區域(2800)可以被ESC夾鉗電極(2010)重疊。也偏好的是修改過的覆蓋環(2830)之穿孔區域(2800)不重疊工件(320)之不熱接觸工件支持物(630)的部分(譬如修改過的覆蓋環(2830)之穿孔 區域(2800)不重疊工件(320)之不被靜電夾盤(670)所夾鉗的部分,修改過的覆蓋環(2830)之穿孔區域(2800)不重疊工件(320)在最外面之ESC密封帶(1700)外的部分)。
穿孔區域(2800)中的穿孔可以為任何尺寸和形狀。穿孔尺寸和形狀在(多個)穿孔區域(2800)裡或之間可以是可變化的或均勻的。穿孔的間距可以均勻的或可變的分布在(多個)穿孔區域(2800)裡或其間。
雖然圖29所示之修改過的覆蓋環(2830)示範成具有固定不變的厚度,不過覆蓋環的厚度可以在環裡變化(譬如厚度變化成半徑的函數,或者厚度跨越環而變化)。穿孔區域(2800)的厚度可以不同於非穿孔區域(2810)的厚度。穿孔區域(2800)的厚度可以比非穿孔區域(2810)的厚度還薄。
圖30顯示本發明的另一具體態樣。這具體態樣包括圖29所描述和示範的元件。附帶而言,於本具體態樣,修改過的覆蓋環(2830)之穿孔區域(2800)不與修改過的覆蓋環(2830)之非穿孔區域(2810)共平面。於較佳具體態樣,穿孔區域(2800)和工件(320)之間的距離大於非穿孔區域(2810)和工件(320)之間的距離。覆蓋環(2830)可以由二或更多件所組成。
於本發明圖40所示的另一具體態樣,修改過的覆蓋環(2830)建構成用於包含二或更多個基板(100)的工件(320)。修改過的覆蓋環(2830)包含至少一穿孔區域(2800)。修改過的覆蓋環(2830)可以包含非穿孔區域(2810)。基板(100)可以有不同的尺寸和/或形狀。基板(100)可以較大基板(100)中的一件。基板(100)可以包含不同的材料。偏好的是基板是以類似的化學所處理(譬如矽和鍺都蝕刻於含氟的化學)。修改過的覆蓋環(2830)包含至少一開口(2820),其將多於一個基板(100)暴露於電漿。偏好的是修改過的覆蓋 環(2830)不重疊基板(100)。於較佳具體態樣,從修改過的覆蓋環開口(2820)到基板(100)周邊的距離(3800)是至少0.1毫米。進一步偏好的是從修改過的覆蓋環開口(2820)到任何基板(100)周邊的距離(3800)是至少0.1毫米。修改過的覆蓋環開口(2820)和基板(100)周邊之間的距離(3800)可以大於1毫米。修改過的覆蓋環(2830)可以是在不同於至少一基板(100)的平面。修改過的覆蓋環(2830)可以是在不同於所有基板(100)的平面。偏好的是修改過的覆蓋環(2830)不接觸工件(320)。雖然本具體態樣所述之修改過的覆蓋環(2830)是建構成用於多個基板,不過它可以包含在此所述的覆蓋環之其他具體態樣所述的特色。
於本發明圖41所示的又一具體態樣,修改過的覆蓋環(2830)建構成用於包含二或更多個基板(100)的工件(320)。修改過的覆蓋環(2830)包含至少一穿孔區域(2800)。修改過的覆蓋環可以包含非穿孔區域(2810)。基板(100)可以有不同的尺寸和/或形狀。基板(100)可以是較大基板中的一件。基板(100)可以包含不同的材料。偏好的是基板是以類似的化學所處理(譬如矽和鍺都蝕刻於含氟的化學)。修改過的覆蓋環(2830)包含至少二個開口(2820),其允許電漿接觸至少一基板(100)。於較佳具體態樣,每個修改過的覆蓋環開口(2820)使一基板(100)暴露於電漿。修改過的覆蓋環開口(2820)可以為不同的尺寸和形狀。偏好的是修改過的覆蓋環(2830)不重疊基板(100)。於較佳具體態樣,從修改過的覆蓋環開口(2820)到該開口所包含之基板(100)周邊的距離(3900)為至少0.1毫米。進一步偏好的是從覆蓋環開口(2820)到該開口所包含之任何基板(100)周邊的距離(3900)為至少0.1毫米。修改過的覆蓋環開口(2820)和基板(100)周邊之間的距離(3900)可以大於1毫米。修改過的 覆蓋環(2830)可以是在不同於至少一基板(100)的平面。修改過的覆蓋環(2830)可以是在不同於所有基板(100)的平面。偏好的是修改過的覆蓋環(2830)不接觸工件(320)。雖然本具體態樣所述之修改過的覆蓋環(2830)乃建構成用於多個基板,不過它可以包含在此覆蓋環之其他具體態樣所述的特色。
於某些情形,可以有利的是添加中間環(4200)到工件(4210),如圖42所示。中間環可以具有至少一開口。中間環的開口可以大於基板(100)。中間環(4210)可以有不對稱的形狀。中間環(4200)可以接觸帶(300)。帶(300)可以是UV釋放帶,如此技藝所知的。中間環(4200)可以是剛性的。中間環(4200)可以插在基板(100)的外周和剛性框架(310)的內緣之間的區域中。中間環(4200)的內徑可以大於基板(100)的直徑。於較佳具體態樣,中間環(4200)不重疊基板(100)。中間環的外徑可以小於剛性框架(310)的內徑。中間環(4200)的一表面和基板(100)的一表面可以是共平面的。中間環(4200)可以由一或更多件所組成。當中間環(4200)由二或更多件所組成時,中間環組件可以是連續的或包含在二或更多個離散區段。
於另一具體態樣,中間環(4200)的至少某些部分重疊基板(100)的至少某些部分。於本具體態樣,中間環的內徑可以小於基板(100)的直徑。中間環可以接觸基板(100)。
於替代性具體態樣,中間環(4200)重疊基板(100)的某些部分,在此中間環(4200)在中間環/基板重疊區域的至少某些部分中不接觸基板(100)。中間環的內徑可以小於基板(100)的直徑。
於又一具體態樣,中間環的至少某些部分重疊基板的某些部分,並且中間環(4200)和基板(100)之間沒有接觸。中間環可以接觸基板 (100)。於中間環(4200)重疊基板的具體態樣,中間環(4200)可以用於減少基板的重疊區域對於電漿的暴露。中間環可以用於避免部分的基板暴露於電漿。
於另一具體態樣,中間環(4200)重疊至少剛性框架(310)的某些部分。於又一具體態樣,中間環(4200)可以附接於剛性框架(310)。剛性框架(310)和中間環(4200)之間的附接可以是可逆的。(譬如在電漿處理之前,中間環(4200)附接於剛性框架(310),然後在電漿過程已經完成之後,從剛性框架(310)脫離)。
中間環(4200)可以與剛性框架(310)位在帶(300)的相同側上。中間環(4200)可以與基板(100)位在帶(300)的相同側上。於一具體態樣,中間環(4200)用於減少帶(300)暴露於電漿的區域。
中間環(4200)可以具有與剛性框架(310)或基板(100)相同的厚度。中間環(4200)可以由多種材料所製造,包括金屬、半導體和介電材料(包括陶瓷和塑膠)。中間環(4200)可以由多於一種材料所組成(例如陶瓷層在金屬層上)。中間環(4200)可以由與剛性框架(310)相同的材料所建構。
圖43顯示工件包含中間環(4200)而在工件支持物(630)上。圖43的中間環(4200)不重疊覆蓋環(660)並且於基板(100)的電漿處理期間將暴露於電漿。於中間環(4200)暴露於電漿的情形,偏好的是環(4200)是由抗電漿的材料所做成(譬如陶瓷--包括氧化鋁、氮化鋁、含釔的材料、碳化矽……)。
於另一具體態樣,部分的中間環(4200)可以重疊覆蓋環(660)。於又一具體態樣,中間環(4200)被覆蓋環(660)所完全重疊。
中間環(4200)的某些部分可以重疊靜電夾盤之夾鉗電極(2010)的某些部分。靜電夾鉗電極(2010)可以完全重疊中間環(4200)。中間環(4200)可以重疊至少部分的靜電夾盤之密封區域(1700)。
在電漿處理之前,中間環(4200)可以施加到工件。中間環可以添加到處理腔室(600)裡的工件(4210)。
圖44顯示本發明的又一具體態樣,而中間環(4200)是工件(4210)的一部分。本具體態樣包含圖42和43之中間環(4200)所列的特色,例外之處在於本具體態樣的電漿和工件(4210)之間沒有覆蓋環(660)。於本具體態樣,偏好的是中間環(4200)熱連通於工件支持物(630),譬如帶(300)被中間環(4200)所重疊的至少某些部分是由靜電夾盤的(多個)夾鉗電極(2010)所夾鉗。
圖45顯示本發明的進一步具體態樣。於本具體態樣,工件(4210)組裝成包含剛性框架(310)、中間環(4200)、可撓性膜(300)(譬如帶)和至少一基板(100)。工件(4210)裝載到處理腔室(600)裡。基板(100)暴露於電漿。一旦基板(100)已經做了至少部分的處理,則移除剛性框架(310)來修改工件(4210)。修改的工件(沒有剛性框架(310))然後送到下游供進一步處理。本具體態樣的一個範例會是由用於200毫米晶圓的切塊框架(剛性框架)、用於150毫米晶圓的切塊框架(中間環)、切塊帶(可撓性膜)、150毫米晶圓(基板)所構成的組裝工件。於這範例,工件可以在建構成與200毫米相容之切塊框架的電漿腔室中做處理。在電漿過程之後,可以移除200毫米框架(以形成修改工件),而留下150毫米晶圓黏帶於150毫米切塊框架。150毫米晶圓和框架(修改過的工件)然後可以進一步在與150毫米相容的處理設備上做下游處 理。
雖然圖42、43、44所示的中間環(4200)建構成用於單一基板,不過這些圖所示的具體態樣也可以有利的應用於包含二或更多個基板(100)的工件(4210)。於中間環(4200)配合多個基板(100)來使用的具體態樣,工件(4210)可以包含一或更多個中間環(4200)。中間環(4200)可以有相同的尺寸和形狀或不同的尺寸和形狀。
圖45所述的發明也可以有利的應用於包含二或更多個基板(100)的工件(4210)。
為了進一步減少有關在基板/帶介面的充電問題,該過程可以在介面暴露的時點改變為第二過程,其具有較少的底切傾向並且典型而言是較低蝕刻速率的過程。發生改變的時點則取決於基板厚度,其有可能變化。為了補償這可變性,使用終點技術來偵測抵達基板/帶介面的時刻。監視電漿發射的光學技術通常用於偵測終點,並且美國專利第6,982,175和7,101,805號描述此種終點技術,其適合TDM過程。
圖31示範於使用電漿處理的晶粒單離化過程期間可以引發的問題。圖31a顯示在晶圓(未顯示)上之晶粒(110)的典型圖案,該等晶粒是由道區域(120)所分離。電漿切塊過程典型而言遵循化學輔助蝕刻機制,其中暴露材料的蝕刻速率部分是可得反應物之濃度的函數。對於矽在含氟電漿(例如SF6電漿)的情形,蝕刻速率典型而言是自由氟的函數(譬如SF6的分壓、SF6的質流速率……)。於遵循化學輔助蝕刻機制的過程,相同的二個區域(3120、3130)由於其局部環境的緣故(例如深寬比)而可以在不同速率下蝕刻。深寬比可以定義成特色的深度除以其最小的側向尺度(長度或寬度)。較 高深寬比的特色典型而言蝕刻得比較慢--尤其對於化學驅動的過程是如此。舉例而言,雖然蝕刻區域3120和區域3130是相同的區域,不過道交叉區域(3120)將具有較低的有效深寬比並且典型而言蝕刻得要比道區域(3130)來得快。這較快的蝕刻速率可以導致不想要的特色輪廓和/或可以損傷底下的帶(譬如損傷帶的伸展性)。
圖32顯示本發明的又一具體態樣。藉由添加遮罩蝕刻輔助特色(3200),其保護部分的道交叉區域(3120)免於蝕刻,則可以增加道交叉區域(3120)的有效深寬比--使道交叉區域(3210)中的局部蝕刻速率減少成類似於周圍道區域(3130)的數值。蝕刻輔助特色(3200)可以使用此技藝所知的方法來圖案化,其使用任何具有適合之蝕刻抵抗性的遮罩材料(譬如聚合物--包括聚醯亞胺和光阻;介電質--包括SiO2、SiN、AlO、AlN;含碳材料--包括碳和類鑽石碳(diamond like carbon,DLC);以及金屬--包括Al、Cr、Ni……)。蝕刻輔助特色(3200)的遮罩材料可以與電漿切塊過程期間用於遮罩晶粒(110)的材料相同。當存在多於一個蝕刻輔助特色(3200)時,蝕刻輔助特色(3200)可以使用多於一種遮罩材料而圖案化。單一蝕刻輔助特色(3200)可以在蝕刻輔助特色(3200)裡使用多於一種遮罩材料而圖案化。偏好的是蝕刻輔助特色(3200)的遮罩材料對要移除之道材料的蝕刻選擇性是至少10:1。進一步偏好的是遮罩材料的蝕刻抵抗性是大於50:1。偏好的是蝕刻輔助特色(3200)在電漿切塊過程之後與晶粒(110)分離。於電漿切塊和/或下游操作期間,蝕刻輔助特色(3200)想要保持完好如初(譬如蝕刻輔助特色不破裂或接觸晶粒(110))。偏好的是蝕刻輔助特色(3200)於電漿切塊過程期間保持附著於帶(300)。偏好的是單離化的蝕刻輔助特色(3200)不接觸晶粒 (110)。
蝕刻輔助特色(3200)可以圖案化成廣泛的形狀,包括多邊形、方形、矩形和/或四邊形。蝕刻輔助特色(3200)可以包含彎曲或圓化的特色。蝕刻輔助特色(3200)可以為圓形或橢圓形。蝕刻輔助特色(3200)可以由多於一個的較小特色所組成(舉例而言,見蝕刻輔助特色群(3201))。
為了乾淨的分離晶粒(110)於單離化過程期間,偏好的是蝕刻輔助特色(3200)不連接於晶粒(110)。於較佳具體態樣,蝕刻輔助特色(3200)都不連接於晶粒(110)。
蝕刻輔助特色(3200)可以彼此連接。蝕刻輔助特色(3200)可以跨越晶圓而有均勻的或可變化的尺寸和形狀。對於晶粒(110)或道(120)跨越晶圓而變化的情形,偏好的是蝕刻輔助特色(3200)的尺寸和/或形狀也跨越晶圓而變化。雖然圖32示範本發明用於矩形晶粒(110),不過本發明可以有利的應用於不同形狀的晶粒,包括具有圓化角落的晶粒。
圖31b顯示晶粒(110)的先前技藝圖案,其包含過程控制監視(process control monitor,PCM)結構(3100)。PCM結構(3100)可以在裝置製程期間用於檢查裝置的品質。PCM結構(3100)典型而言不是可產出的晶粒,並且消耗基板或可用於有用之晶粒的的真實面積。由於PCM結構(3100)典型而言不併入最終的產品晶粒裡,故PCM結構(3100)典型而言位在晶圓的切塊道區域(120)中。PCM結構(3100)的數目和尺寸典型而言是晶片設計和製程的函數。於先前技藝,為了保持PCM結構(3100)與有用的晶粒(110)分離,常常必須增加PCM道(3110)在PCM結構(3100)周圍的寬度。這些較寬的PCM道區域(3110)可以因為二個原因而是不想的:首先,不同寬度的道區域可以 在不同速率下蝕刻(譬如較寬的道蝕刻得較快),而可能引起晶粒側壁有不想要的變化;其次,較寬的道區域(110)代表基板的浪費區域,其可能可以用於製造額外的裝置(110)。
圖33顯示本發明的又一具體態樣以呈現更均勻的圖案負載給電漿。於本具體態樣,PCM輔助特色(3300)添加到PCM道區域(3110)以減少暴露的基板在PCM道區域(3110)中的面積。藉由減少暴露的基板面積,PCM輔助特色(3300)可以把靠近PCM輔助特色(3300)之暴露基板的蝕刻速率局部減少到類似於二個晶粒(110)之間的道(120)的蝕刻速率。於較佳具體態樣,在晶粒(110)和PCM輔助特色(3300)之間暴露(譬如未遮罩的)材料的寬度(3330)類似於道區域(120)的寬度。PCM輔助特色(3300)可以連接或碰觸PCM結構(3100)。PCM輔助特色(3300)可以藉由間隙(3310)而與PCM結構(3100)分開。PCM輔助特色(3300)和PCM結構(3100)之間的間隙(3310)可以小於或等於道(120)的寬度。
如果PCM輔助特色(3300)圖案化成跨越基板而為實質連續的,則連續的PCM輔助特色可能可以在電漿切塊之後抑制帶(300)的均勻伸展--而不利的影響下游操作。於一具體態樣,PCM道(3110)之要保護免於蝕刻的區域是由多於一個PCM輔助特色(3300)所保護。偏好的是PCM輔助特色(3300)在電漿切塊之後與相鄰的晶粒(110)分離。PCM輔助特色(3300)可以在電漿切塊過程之後彼此分離。PCM輔助特色(3300)可以藉由帶伸展間隙(3320)而與另一PCM輔助特色(3300)分離。偏好的是至少一PCM輔助特色(3300)於電漿切塊過程期間在帶伸展間隙(3320)分離(譬如在至少一對相鄰PCM輔助特色(3300)之間的基板材料被移除以暴露底下層。這底下層可以是 在基板背面上的工件帶(300)或薄膜或膜堆疊--薄膜可以是晶圓背面金屬層,如此技藝所知的)。這帶伸展間隙允許切塊帶(300)於下游拾放操作期間有更均勻的伸展。偏好的是帶伸展間隙(3320)的寬度類似於道(120)的寬度(譬如帶伸展間隙的寬度範圍在差不多5到30微米)。PCM輔助特色(3300)可以具有差不多相同於晶粒(110)尺度(譬如晶粒長度或寬度)的一側向尺度。
PCM輔助特色(3300)可以使用此技藝已知的方法而圖案化,其使用任何具有適合之蝕刻抵抗性的遮罩材料(譬如聚合物--包括聚醯亞胺和光阻;介電質--包括SiO2、SiN、Al2O3、AlN;含碳材料--包括碳和類鑽石碳(DLC);以及金屬--包括Al、Cr、Ni……)。(多個)PCM輔助特色(3300)的遮罩材料可以與電漿蝕刻過程期間用於遮罩晶粒(110)的材料相同。偏好的是PCM輔助特色(3300)的遮罩材料對要移除之道材料的蝕刻選擇性是至少10:1。進一步偏好的是遮罩材料對道材料的蝕刻抵抗性(譬如蝕刻選擇性)是大於50:1。當存在多於一個PCM輔助特色(3300)時,PCM輔助特色(3300)可以使用不同的遮罩材料而圖案化。單一PCM輔助特色(3300)可以在PCM輔助特色(3300)裡使用多於一種遮罩材料而圖案化。
圖34顯示本發明的另一具體態樣。不像習用的切塊鋸,電漿切塊不需要跨越整個基板而在晶粒(110)之間的線性道區域(120)。為了呈現更均勻的蝕刻負載給電漿,偏好的是使靠近PCM結構(3100)之成群的晶粒(3400)偏移,使得切塊道(3410)靠近PCM結構(3100)的寬度類似於標準切塊道(120)區域的寬度(譬如PCM道(3410)的寬度為差不多5到30微米)。
圖35顯示本發明的又一具體態樣。圖35顯示晶粒(110)具有圓化的角落。相較於此技藝已知的矩形晶粒,這些圓化的角落在切塊道(120) 的交叉處產生較大的面積。為了利用晶粒(110)之間的這額外暴露面積,修改過的PCM結構(3500)可以放置在切塊道(120)的交叉裡。修改過的PCM結構(3500)可以具有與此技藝已知的PCM結構(3100)相同的功能性。這些修改過的PCM結構(3500)可以有多變的尺寸和形狀(譬如直線、圓化或其他形狀)。修改過的PCM結構(3500)可以在電漿切塊之後維持測試功能性。偏好的是修改過的PCM結構(3500)於電漿切塊過程期間從晶粒單離化(譬如至少一晶粒和修改過之PCM結構(3500)之間的基板材料被移除以暴露底下層。這底下層可以是在基板背面上的工件帶(300)或薄膜或膜堆疊--薄膜可以是晶圓背面金屬層,如此技藝所知的)。偏好的是修改過的PCM結構(3500)不碰觸任何的晶粒(110)。雖然圖35示範晶粒具有圓化的角落,不過本發明可以有利的應用於具有任何周邊形狀的晶粒(例如矩形或方形的晶粒)。
圖36示範使用電漿處理的晶粒單離化過程期間可以引起的另一問題。圖36顯示晶圓(100)上之晶粒(110)的典型圖案,該等晶粒是由道區域(120)所分離。電漿切塊過程典型而言遵循化學輔助蝕刻機制,其中暴露材料的局部蝕刻速率可以部分是附近暴露之材料量的函數(例如蝕刻負載效應)。靠近基板(100)之大暴露面積的特色典型而言具有較低的蝕刻速率。於某些晶粒圖案佈局,在最外面晶粒(3600)的邊緣和基板(100)周邊之間可以有暴露晶圓的邊緣區域(3620)(譬如在虛線3625和基板(100)周邊之間的基板區域)。於電漿切塊過程期間,邊緣區域可以採取顯著不同於道區域(120)的速率來蝕刻。邊緣區域(3620)和道區域(120)之間的這蝕刻速率差異可以導致不想要的特色輪廓、損傷底下的帶或有更長的電漿切塊過程時間。
圖37顯示本發明的又一具體態樣。藉由添加遮罩負載輔助 特色(3700),其保護基板(100)的部分邊緣區域(3620)而免於蝕刻,則可以減少有效負載(譬如暴露的基板區域)--這允許邊緣區域(3620)之暴露區域(3730)中的局部蝕刻速率達到類似於相鄰道區域(120)的蝕刻速率。負載輔助特色(3700)可以使用此技藝已知的方法而圖案化,其使用任何具有適合之蝕刻抵抗性的遮罩材料(譬如聚合物--包括聚醯亞胺和光阻;介電質--包括SiO2、SiN、Al2O3、AIN;含碳材料--包括碳和類鑽石碳(DLC);以及金屬--包括Al、Cr、Ni……)。負載輔助特色(3700)的遮罩材料可以與電漿切塊過程期間用於遮罩晶粒(110)的材料相同。當存在多於一個負載輔助特色(3700)時,負載輔助特色(3700)可以使用多於一種遮罩材料而圖案化。單一負載輔助特色(3700)可以在負載輔助特色(3700)裡使用多於一種遮罩材料而圖案化。偏好的是負載輔助特色(3700)之遮罩材料對要移除之暴露材料(譬如基板)的蝕刻選擇性是至少10:1。進一步偏好的是暴露材料對負載輔助特色之遮罩材料的蝕刻選擇性是大於50:1。
在晶粒和相鄰的負載輔助特色之間想要具有圖案間隙(3710)。圖案間隙(3710)可以與道(120)的寬度相同。一旦圖案間隙(3710)中的材料已經於電漿切塊期間移除,則偏好的是負載輔助特色(3700)與相鄰的晶粒(110)分離(譬如至少一晶粒和負載輔助特色(3700)之間的基板材料被移除以暴露底下層。這底下層可以是在基板背面上的工件帶(300)或薄膜或膜堆疊--薄膜可以是晶圓背面金屬層,如此技藝所知的)。負載輔助特色(3700)可以在電漿切塊過程之後與相鄰的負載輔助特色(3700)分離。相鄰的裝載特色可以藉由負載輔助特色間隙(3720)而彼此分離。這負載輔助特色間隙(3720)允許切塊帶(300)於下游拾放操作期間有更均勻的伸展。偏好的是負載輔助 特色間隙(3720)的寬度類似於道(120)的寬度(譬如帶伸展間隙的寬度範圍在差不多5到30微米)。負載輔助特色間隙(3720)的寬度可以類似於圖案間隙(3710)。負載輔助特色(3700)可以具有差不多相同於晶粒(110)的尺度(譬如晶粒長度或寬度)的一側向尺度。為了幫助任何下游的帶伸展操作,想要使至少一間隙(譬如負載輔助特色間隙(3720)、圖案間隙(3710)……)或道(120)交叉於晶圓的周邊。
如此技藝所知的,某些晶圓在晶圓周邊具有邊緣珠移除區域,使得基板靠近周邊的頂面是暴露的基板材料。於另一具體態樣,對於已經移除邊緣珠的晶圓而言,想要使至少一間隙(譬如負載輔助特色間隙(3720)、圖案間隙(3710)……)或道(120)連接於邊緣珠移除區域(譬如,如此從晶圓的周邊到至少一間隙則有至少一區域的連續暴露基板)。
負載輔助特色(3700)想要於電漿切塊和/或下游操作期間保持完好如初(譬如負載輔助特色不破裂或接觸晶粒(110))。偏好的是負載輔助特色(3700)於電漿切塊過程期間保持附著於帶(300)。偏好的是單離化的負載輔助特色(3700)不接觸晶粒(110)。
負載輔助特色(3700)可以圖案化成廣泛的形狀,包括多邊形、方形、矩形和/或四邊形。負載輔助特色(3700)可以包含彎曲或圓化的特色。負載輔助特色(3700)可以為圓形或橢圓形。負載輔助特色(3700)可以由多於一個的較小特色所組成。
為了於單離化過程期間乾淨的分離晶粒(110),偏好的是負載輔助特色(3700)不連接於晶粒(110)。於較佳具體態樣,負載輔助特色(3700)都不連接於晶粒(110)。
負載輔助特色(3700)可以連接於另一負載輔助特色(3700)。負載輔助特色(3700)可以跨越晶圓而有均勻的或可變化的尺寸和形狀。對於晶粒(110)或道(120)跨越晶圓而變化的情形,偏好的是負載輔助特色(3700)的尺寸和/或形狀也跨越晶圓而變化。雖然圖37示範本發明用於矩形的晶粒(110),不過本發明可以有利的應用於不同形狀的晶粒,包括具有圓化角落的晶粒(110)。
注意雖然之前範例單獨描述蝕刻輔助特色(3200)、PCM輔助特色(3300)、修改過的PCM結構(3500)、負載輔助特色(3700),不過可以有利的應用這些元件的任何組合。
在半導體基板的單離化之後,可以有不要的殘餘物存在於裝置上。鋁通常使用作為用於半導體裝置的電接觸,並且當暴露於基於氟的電漿時,一層AlF3形成在其表面上。AlF3在正常電漿處理條件下是非揮發性的,並且不從基板和系統抽走而在處理之後保持在表面上。鋁上的AlF3是裝置故障的常見原因,因為大大減少了電線對電接觸的結合強度。因此,在電漿處理之後從電接觸的表面移除AlF3是很重要。可以使用溼式方法;然而,這變得困難,因為分離晶粒的脆弱天性並且可能損傷帶而釋放晶粒。因此,當基板仍在真空腔室裡時,該過程可以改變成第三過程,其設計成移除形成的任何AlF3。美國專利第7,150,796號描述使用基於氫的電漿來現場移除AlF3的方法。類似而言,當其他包含鹵素的氣體用於蝕刻基板時,現場處理可以用於移除其他包含鹵素的殘餘物。
雖然上面範例討論使用電漿來分離晶粒(切塊),不過本發明的諸多方面可以對於相關的應用(例如以電漿蝕刻來薄化基板)是有用的。於 這應用,基板(100)可以在要蝕刻的表面上具有某些特色;或者替代而言,要蝕刻的表面可以沒有特色(例如薄化整塊基板)。
本揭示包括所附申請專利範圍以及前面敘述所包含者。雖然本發明已經以其較佳形式而有特定程度的特殊性來描述,但是要了解已經僅為了舉例而揭示較佳形式,並且可以訴諸於建構細節的許多改變以及零件的組合與安排,而不偏離本發明的精神和範圍。
現在已經描述了本發明。
100‧‧‧基板、晶圓
110‧‧‧裝置結構、晶粒
120‧‧‧道區
200‧‧‧保護性材料
300‧‧‧帶、膜
310‧‧‧框架
320‧‧‧工件
400‧‧‧電漿

Claims (21)

  1. 一種用於電漿切塊基板的方法,該方法包括:提供具有壁的處理腔室;提供相鄰於該處理腔室之該壁的電漿來源;在該處理腔室裡提供工件支持物;將該基板放置在載體支持物上以形成工件;提供插在該基板和該框架之間的中間環;將該工件裝載到該工件支持物上;透過該電漿來源來產生電漿;以及透過該產生的電漿來蝕刻該工件。
  2. 根據申請專利範圍第1項的方法,其中該中間環接觸該支持膜。
  3. 根據申請專利範圍第1項的方法,其進一步包括:該中間環具有內徑;以及該基板具有外徑;其中該中間環的該內徑尺寸大於該基板的該外徑。
  4. 根據申請專利範圍第1項的方法,其中該中間環係定位成與該基板共平面。
  5. 根據申請專利範圍第1項的方法,其中該中間環進一步包括一或更多件。
  6. 根據申請專利範圍第1項的方法,其進一步包括:該支持膜具有上表面和下表面;以及該中間環係定位在該支持膜的該上表面上,並且該基板係定位在該支 持膜的該上表面上。
  7. 一種用於電漿切塊基板的方法,該方法包括:提供具有壁的處理腔室;提供相鄰於該處理腔室之該壁的電漿來源;在該處理腔室裡提供工件支持物;將該基板放置在載體支持物上以形成工件;提供插在該基板和該框架之間的中間環;在該電漿來源和該工件之間提供覆蓋環;將該工件裝載到該工件支持物上;透過該電漿來源來產生電漿;以及透過該產生的電漿來蝕刻該工件。
  8. 根據申請專利範圍第7項的方法,其中該中間環不重疊該覆蓋環。
  9. 根據申請專利範圍第7項的方法,其中該中間環重疊該覆蓋環。
  10. 根據申請專利範圍第7項的方法,其進一步包括:該中間環具有內徑;以及該基板具有外徑;其中該中間環的該內徑尺寸大於該基板的該外徑。
  11. 根據申請專利範圍第7項的方法,其中該中間環係定位成與該基板共平面。
  12. 根據申請專利範圍第7項的方法,其中該中間環進一步包括一或更多件。
  13. 根據申請專利範圍第7項的方法,其進一步包括: 該支持膜具有上表面和下表面;以及該中間環係定位在該支持膜的該上表面上,並且該基板係定位在該支持膜的該上表面上。
  14. 一種用於電漿切塊基板的方法,該方法包括:提供具有壁的處理腔室;提供相鄰於該處理腔室之該壁的電漿來源;在該處理腔室裡提供工件支持物,該工件支持物具有靜電夾盤;將該基板放置在載體支持物上以形成工件;提供插在該基板和該框架之間的中間環;將該工件裝載到該工件支持物上;透過該電漿來源來產生電漿;以及透過該產生的電漿來蝕刻該工件。
  15. 根據申請專利範圍第14項的方法,其進一步包括:該靜電夾盤具有至少一夾鉗電極;以及該中間環重疊該靜電夾盤的該夾鉗電極。
  16. 根據申請專利範圍第14項的方法,其進一步包括:該靜電夾盤具有至少一夾鉗電極;以及該中間環完全重疊該靜電夾盤的該夾鉗電極。
  17. 根據申請專利範圍第14項的方法,其進一步包括:該中間環具有內徑;以及該基板具有外徑;其中該中間環的該內徑尺寸大於該基板的該外徑。
  18. 根據申請專利範圍第14項的方法,其中該中間環係定位成與該基板共平面。
  19. 根據申請專利範圍第14項的方法,其中該中間環進一步包括一或更多件。
  20. 根據申請專利範圍第14項的方法,其進一步包括:該支持膜具有上表面和下表面;以及該中間環係定位在該支持膜的該上表面上,並且該基板係定位在該支持膜的該上表面上。
  21. 一種用於電漿切塊基板的方法,該方法包括:組裝具有剛性框架、中間環、可撓性膜和至少一基板的工件;將該工件轉移到處理腔室裡;使該工件的該基板暴露於電漿;藉由移除該剛性框架而修改該工件;以及處理該修改的工件。
TW104100220A 2014-03-07 2015-01-06 用於電漿切塊半導體晶圓的方法及裝置 TWI629718B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/201,409 US9082839B2 (en) 2011-03-14 2014-03-07 Method and apparatus for plasma dicing a semi-conductor wafer
US14/201,409 2014-03-07

Publications (2)

Publication Number Publication Date
TW201535494A true TW201535494A (zh) 2015-09-16
TWI629718B TWI629718B (zh) 2018-07-11

Family

ID=52392242

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104100220A TWI629718B (zh) 2014-03-07 2015-01-06 用於電漿切塊半導體晶圓的方法及裝置

Country Status (6)

Country Link
EP (1) EP3114703B1 (zh)
JP (2) JP2017515316A (zh)
KR (1) KR101906695B1 (zh)
CN (1) CN106068548B (zh)
TW (1) TWI629718B (zh)
WO (1) WO2015134111A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112050B1 (en) * 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
GB201611652D0 (en) * 2016-07-04 2016-08-17 Spts Technologies Ltd Method of detecting a condition
CN106920779B (zh) * 2017-03-09 2019-09-06 三星半导体(中国)研究开发有限公司 柔性半导体封装件的组合结构及其运输方法
KR102007709B1 (ko) * 2017-07-31 2019-08-06 (주)엔지온 반도체칩의 제조방법
CN107946251B (zh) * 2017-12-28 2024-02-02 江阴长电先进封装有限公司 一种半导体产品的封装方法
US10818553B2 (en) 2018-03-26 2020-10-27 Panasonic Intellectual Property Management Co., Ltd. Method for cutting element chip by laser scribing
TWI776026B (zh) * 2018-06-04 2022-09-01 美商帕斯馬舍門有限責任公司 切割晶粒附接膜的方法
US11515128B2 (en) * 2018-08-28 2022-11-29 Lam Research Corporation Confinement ring with extended life
KR20200038345A (ko) * 2018-10-02 2020-04-13 삼성디스플레이 주식회사 증착 장치
KR102073077B1 (ko) * 2019-10-22 2020-02-04 이명석 그라핀 수소 저장 용기의 제작 방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5389182A (en) * 1993-08-02 1995-02-14 Texas Instruments Incorporated Use of a saw frame with tape as a substrate carrier for wafer level backend processing
JP4858395B2 (ja) * 2007-10-12 2012-01-18 パナソニック株式会社 プラズマ処理装置
JP2010177566A (ja) * 2009-01-30 2010-08-12 Disco Abrasive Syst Ltd ワーク支持用環状フレームおよびワーク移載方法
US8691702B2 (en) * 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9343365B2 (en) * 2011-03-14 2016-05-17 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP5528394B2 (ja) 2011-05-30 2014-06-25 パナソニック株式会社 プラズマ処理装置、搬送キャリア、及びプラズマ処理方法
US8912077B2 (en) 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier

Also Published As

Publication number Publication date
TWI629718B (zh) 2018-07-11
KR20160137991A (ko) 2016-12-02
EP3114703B1 (en) 2021-04-28
EP3114703A1 (en) 2017-01-11
CN106068548A (zh) 2016-11-02
WO2015134111A1 (en) 2015-09-11
KR101906695B1 (ko) 2018-10-10
CN106068548B (zh) 2020-02-28
JP2017515316A (ja) 2017-06-08
JP2019197899A (ja) 2019-11-14

Similar Documents

Publication Publication Date Title
US11488865B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US9711406B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US9202721B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US9343365B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US9202737B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US8691702B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
TWI629718B (zh) 用於電漿切塊半導體晶圓的方法及裝置
US20230020438A1 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US20230343647A1 (en) Method and apparatus for plasma dicing a semi-conductor wafer