JP2010535410A - 半導体デバイスの製造方法およびそれによって得られる半導体デバイス - Google Patents

半導体デバイスの製造方法およびそれによって得られる半導体デバイス Download PDF

Info

Publication number
JP2010535410A
JP2010535410A JP2010518760A JP2010518760A JP2010535410A JP 2010535410 A JP2010535410 A JP 2010535410A JP 2010518760 A JP2010518760 A JP 2010518760A JP 2010518760 A JP2010518760 A JP 2010518760A JP 2010535410 A JP2010535410 A JP 2010535410A
Authority
JP
Japan
Prior art keywords
layer
material layer
logic
region
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010518760A
Other languages
English (en)
Inventor
ブジャン、ヴィルジニー
アブバケル アメピュール、マスド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Publication of JP2010535410A publication Critical patent/JP2010535410A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

基板(4)上に半導体デバイスを製造する方法は、基板(4)のメモリ領域(1)に不揮発性メモリ(10)を形成することを含む。基板(4)への不揮発性メモリ形成は、メモリ領域(1)に、浮遊ゲート構造と、制御ゲート構造とを形成することを含む。制御ゲート構造は、浮遊ゲート構造とともに積層型構造に位置する。1以上のゲート材料層は、基板(4)の論理領域(3)に形成される。制御ゲート構造とゲート材料層の形成後、充填材料層(130、130’)は論理領域(3)とメモリ領域(1)に堆積される。論理領域(3)とメモリ領域(1)に位置する充填材料の厚さを、1以上のゲート材料層の表面が少なくとも露出するまで薄くすることによって、充填材料層(130、130’)は除去される。論理デバイスは論理領域(3)に形成され、論理デバイスの形成は、ゲート材料層から論理ゲート構造を形成することを含む。

Description

本発明は、半導体デバイスの製造方法と半導体デバイスに関する。
特許文献1は、半導体集積不揮発性メモリ装置の製造プロセスにおいて、アレイと回路の間における不均一性または形状バラツキを低減する方法を記述する。特許文献1は、メモリセルアレイと、論理回路を含む周辺回路領域との両方において、複数層の中間積層構造がゲート構造の製造ステップ中に提供される方法を開示する。アレイにおけるゲート構造と、周辺回路における単一ゲートとを規定するステップの前に、少なくとも薄い誘電体層と第3伝導層を有する薄い積層構造が、第2伝導層上に提供される。この複数層の中間積層構造は、アレイにおける2重ゲート構造と、周辺回路における単一ゲートトランジスタとの間の厚さの差異を補償すべく使用される。
米国特許出願公開第2005/0185446号明細書
しかしながら、特許文献1に開示される方法の不都合は、中間積層構造が多くの追加処理ステップを必要とすることである。
本発明は、請求項に記載されるように半導体デバイスの製造方法と半導体デバイスを提供する。
本発明の詳細な実施形態は、従属請求項に記述される。
本発明のこれらの態様および他の態様は、これ以降に記載される実施形態から明らかになるであろう。
本発明の更なる詳細、態様、および実施形態は、図を参照して例として記述される。
不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 不揮発性メモリと、論理デバイスと、高電圧デバイスとのうち少なくとも1つの製造方法の異なる段階における、半導体デバイスの実施形態の例の模式断面図。 本発明にしたがって、方法の第1実施形態の異なる段階における半導体デバイスの実施例の模式断面図。 本発明にしたがって、方法の第1実施形態の異なる段階における半導体デバイスの実施例の模式断面図。 本発明にしたがって、方法の第1実施形態の異なる段階における半導体デバイスの実施例の模式断面図。 本発明にしたがって、方法の第1実施形態の異なる段階における半導体デバイスの実施例の模式断面図。 本発明にしたがって、方法の第1実施形態の異なる段階における半導体デバイスの実施例の模式断面図。 本発明にしたがって、方法の第2実施形態の異なる段階における半導体デバイスの実施例の模式断面図。 本発明にしたがって、方法の第2実施形態の異なる段階における半導体デバイスの実施例の模式断面図。 方法の第3実施形態の段階における半導体デバイスの実施例の模式断面図。
図1〜4を参照して、不揮発性メモリと論理デバイスの両方を備える半導体デバイスの製造方法例が説明される。図示された例において、例えば、フラッシュメモリまたはEEPROM(電気的に消去可能なプログラマブルリードオンリメモリ)のような不揮発性メモリ10は、基板4に形成される。以下により詳細に説明されるように、基板に不揮発性メモリを形成することは、浮遊ゲート構造14とともに積層構造の中に、浮遊ゲート構造14と制御ゲート構造15を形成することを含みうる。方法はさらに、基板に論理デバイス30を形成することを含みうる。論理デバイス30を形成することは、論理ゲート構造を形成することを含みうる。高電圧デバイス20もまた形成され、たとえば、高電圧ゲート構造が形成されうる。図1を参照すると、たとえば共通の半導体基板4上に、不揮発性メモリ10のメモリ領域1と、高電圧デバイス20の高電圧領域2と、論理デバイス30の論理領域3とが規定されうる。領域1〜3は、たとえばウェハの異なる領域に位置しうるが、しかしながら、領域1〜3はまたウェハの同じ領域に位置してもよい。
図1A〜図1Hに例証されるように、不揮発性メモリ10と、高電圧デバイス20と、論理デバイス30とのうち少なくとも1つは、おおよそ同時に製造されうる。たとえば、不揮発性メモリ10の製造ステップは、論理デバイス30の製造ステップと、高電圧デバイス20の製造ステップとの少なくとも1つのステップと同時に実行されうる。
図1Aの例に示すように、たとえば、基板4に、1以上の活性領域112が形成されていてもよい。たとえば、適切なドーピングプロファイルを有する基板を提供することによって、浮遊ゲート電界効果型トランジスタのチャネルと、論理デバイスの一部のチャネルと、高電圧デバイスの一部のチャネルとのうち少なくとも1つを、基板4に形成しうる。
メモリ領域1において、浮遊ゲート構造は、チャネル形成部の上に形成されうる。たとえば、トンネル酸化層113といった酸化層は、メモリ領域1の活性領域112上に形成されうる。(トンネル)酸化層113の上に、第2ポリシリコン層114といったゲート材料が堆積されうる。
図1Aに示すように、たとえば、第1ポリシリコン層110または他の適切なゲート材料層が、形成されていてもよい。処理中に、第1ポリシリコン層110は、たとえば論理デバイス30の論理ゲート構造31に、また不揮発性メモリ10のメモリ領域1の活性領域上に形成される。第1ポリシリコン層110は、たとえばメモリ領域1、高電圧領域2、および論理領域3に堆積された均一の厚さの非パターン膜でもよい。第1ポリシリコン層110は、たとえば厚さ約0.03ミクロン〜0.15ミクロンでもよい。
第1ポリシリコン層110の堆積は、領域1〜3におけるゲート酸化層100の形成後に実施されうる。成長したゲート酸化層100の厚さは、たとえば基板4の表面上において異なっていてもよい。たとえば、メモリ領域1および高電圧領域2においては65Åの薄いゲート酸化膜であってもよく、異なる型の論理デバイスの論理領域3においてはたとえばそれぞれ25Åおよび65Åのように異なる厚さを有していてもよい。
図1Aに示すように、第1ポリシリコン層110は、メモリ領域1において除去されてもよく、他の領域2、3において保持されてもよい。第1ポリシリコン層110は、たとえば論理領域3と高電圧領域2のうち少なくとも1つのような第1ポリシリコン層110が保持されるべきである領域において第1ポリシリコン層110上に保護層115を提供することによって、エッチングされうる。そして、活性領域112のように第1ポリシリコン層110が除去されるべき領域において第1ポリシリコン層110をエッチング媒体に露出しうる。ゲート酸化層100は、露出された領域において、たとえばメモリ領域1において、活性領域112をエッチングから保護するエッチストップ層として振る舞いうる。
保護層115は、たとえばフォトレジスト層でありうる。フォトレジスト層は、たとえば、スピニングまたは他の適切な技術によって、基板4上と第1ポリシリコン層110上に堆積され、フォトリソグラフィまたは他の方法を用いてパターニングされ、除去されるべき部分に位置するフォトレジストを除去する。第1ポリシリコン層110の除去に続き、保護層115は、剥離プロセスにおいて、それから適切な剥離媒体を用いて、たとえば適切な溶媒中またはドライレジスト剥離媒体中において分解することによって、除去されうる。
図1Bに示すように、基板4の表面と第1ポリシリコン層110の表面うち少なくとも1つは酸化され、トンネル酸化層113を形成しうる。トンネル酸化層113は、メモリ領域1のトランジスタのゲート酸化膜として振る舞う。トンネル酸化層113の厚さは、10ナノメートル未満であり、たとえば8.5ナノメートルになりうる。たとえば、メモリ領域1において、基板4の表面は、第1ポリシリコン層110の除去後に、酸化されうる。論理領域3と高電圧領域2のうち少なくとも1つにおいて、第1ポリシリコン層110の表面はまた、同時に酸化され、高電圧領域2、論理領域3において酸化層を形成しうる。図1Bに示すように、第2ポリシリコン層114は、トンネル酸化層113の形成後に堆積されうる。第2ポリシリコン層114は、たとえば均一な厚さの非パターン層であり、たとえば0.03ミクロン〜0.15ミクロンの厚さで、メモリ領域1、高電圧領域2、および論理領域3に堆積されうる。第2ポリシリコン層114は、たとえばトンネル酸化層113の表面上に堆積されうる。したがって、メモリ領域1において、基板4、トンネル酸化層113、および第2ポリシリコン層114の積層構造が形成される。第1ポリシリコン層110が残存している領域、たとえば、論理領域3と高電圧領域2において、基板4、ゲート酸化層100、第1ポリシリコン層110、トンネル酸化層113、および第2ポリシリコン層114の積層構造が形成される。
第2ポリシリコン層114は、パターン化され、たとえば異なる不揮発性メモリデバイスの浮遊ゲートを互いの浮遊ゲートから分離しうる。図1Bに示すように、パターン化されたフォトレジスト層116(または、他の保護層)は、第2ポリシリコン層114の表面に提供され、メモリ領域1における浮遊ゲート構造の位置のような所望の位置において、第2ポリシリコン層114を保護しうる。第2ポリシリコン層114はフォトレジスト層116によって覆われていない場所において除去されうる。フォトレジスト層116の前に、反射防止膜(ARC)が堆積されてもよい。
たとえば、第2ポリシリコン層114は、浅いトレンチ分離(STI)の酸化膜に到達するまで、STIの領域においてエッチングされてもよい。高電圧領域2または論理領域3のように、第2ポリシリコン層114が、パターン化されたフォトレジスト層116によって覆われていない領域において、第2ポリシリコン層114は、適切なエッチング媒体に露出されうる。これらの領域において、第2ポリシリコン層114は、たとえばトンネル酸化層113の表面が露出されるまでエッチングされうる。高電圧領域2、論理領域3において、トンネル酸化層113は、エッチストップ層として振る舞い、第1ポリシリコン層110をエッチングから保護する。フォトレジスト層116は、それから適切な剥離媒体を用いて、例えば適切な溶媒中またはドライレジスト剥離媒体中において分解することによって除去されうる。
図1Cに示すように、分離層が浮遊ゲート電気層(この例において、第2ポリシリコン層114によって形成される)の表面に形成されうる。分離層は、メモリ領域1において浮遊ゲートを制御ゲートから分離する。たとえば、パターン化されたフォトレジスト層116の除去後に、酸化膜/窒化膜/酸化膜またはONO層として知られる、シリコン酸化膜/シリコン窒化膜/シリコン酸化膜の積層構造(たとえば、SiO/Si/SiO)は、メモリ領域1のような所望の領域に提供されうる。ONO層118は、たとえば16ナノメートルの厚さであり、たとえば酸化膜と窒化膜と酸化膜の厚さの比は、6:5:5である。ONO層118は、たとえば領域1〜3の全てに堆積されてもよく、高電圧領域2のような所望の領域において除去されうる。たとえば、レジスト層119または他の保護層が所望の領域を保護すべく提供されてもよく、レジスト層119によって覆われていない部分はエッチング媒体に露出されうる。示した例において、たとえばレジスト層119は、高電圧酸化層を成長すべく、高電圧領域2においてONO層118と第1ポリシリコン層110を除去するステップにおいて使用される。
図1Dに示すように、高電圧領域2において、たとえば25ナノメートルの高電圧酸化層120は提供されうる。たとえば高電圧ゲート絶縁層として形成されうる高電圧酸化層120は、高電圧電界効果型トランジスタのチャネルをゲートから分離する。高電圧酸化層120は、たとえばエッチングによって、高電圧領域2において、たとえばONO層118、トンネル酸化層113、および第1ポリシリコン層110の除去後に提供されうる。ゲート酸化層100は、エッチストップ層として振る舞う。レジスト層119は、それからたとえば適切な剥離媒体を用いて除去されうる。
浮遊ゲート構造の形成後に、浮遊ゲート構造とともに積層構造において、制御ゲート構造は提供されうる。たとえば、制御ゲート構造が提供されるべきメモリ領域1の部分において、ゲート材料層は提供されうる。たとえば、図1Eに示すように、第3ポリシリコン層121または他の適切なゲート材料層は堆積されうる。第3ポリシリコン層121は、たとえば0.03ミクロン〜0.15ミクロンの厚さでよい。図1Eに示すように、第3ポリシリコン層121は、たとえば高電圧領域2と論理領域3のような他の領域においても堆積されうる。
第3ポリシリコン層121は、メモリ領域1においてパターン化されてもよい。たとえば、第3ポリシリコン層121の表面において、アモルファスカーボン層122、DARC(反射防止絶縁膜)、またはTEOS(テトラエチルオルソシリケート)ハードマスク層123のような反射防止膜が堆積されうる。アモルファスカーボン層122は、たとえば300ナノメートルの厚さでよい。アモルファスカーボン層122は、たとえばカーボンソースを有するガス混合物のCVD(化学気相成長法)によって堆積されうる。TEOS層123は、たとえば20ナノメートルの厚さでよい。TEOS層123は、レジスト層124によって覆われてもよい。レジスト層124は、メモリ領域1のような所望の領域にパターン化されてもよい。このパターン化は、TEOS層122、アモルファスカーボン層122、第3ポリシリコン層121、ONO層118、および第2ポリシリコン層114を、それらの位置においてエッチング媒体に露出するためである。それによって、たとえば異なる制御ゲート間における分離構造が生成されうる。図1Fに示すように、アモルファスカーボン層122とTEOS層123は、それからたとえば適切な剥離液を用いて、またはドライ剥離処理を用いて除去されうる。
図1Gに示すように、それから第3ポリシリコン層121は、所望の領域において除去されうる。たとえば、論理領域3において、第3ポリシリコン層121は完全に除去されてもよく、その一方で高電圧領域2またはメモリ領域1において、第3ポリシリコン層121は局所的に除去され構造をパターン化しうる。たとえば、高電圧領域2において、高電圧ゲート構造は、第3ポリシリコン層121にパターン化され、メモリ領域1において第3ポリシリコン層121を通じて経路(この例において、浮遊ゲート材料を形成する第2ポリシリコン層114に接触するための経路)が(この例において制御ゲート材料を形成する)第3ポリシリコン層121にパターン化されてもよい。レジスト層125は、たとえば第3ポリシリコン層121上に提供され、そしてパターン化されてもよく、第3ポリシリコン層121は、第3ポリシリコン層121が除去されるべき領域において露出される。図1Hに示すように、たとえば第3ポリシリコン層121が露出されるメモリ領域1の部分において、第3ポリシリコン層121は、ONO層118のボトム酸化膜126が露出するまでエッチングされうる。高電圧ゲート構造を形成すべく、たとえば高電圧領域2において、第3ポリシリコン層121は、露出された場所において、高電圧酸化層120が露出するまでエッチングされうる。論理領域3において、第3ポリシリコン層121は、ONO層118のボトム酸化膜126が露出するまでエッチングされうる。
図1Iに示すように、論理デバイスのゲート構造を作製すべく、レジスト層128または他の保護層が提供され、論理領域3においてパターン化されうる。図1Iに示すように、レジスト層128は、たとえば反射防止膜(ARC層)127Aとハードマスク層127Bの少なくとも1つの上に堆積されうる。露出された部分、すなわちレジスト層128によって覆われていない部分は、たとえばエッチングされうる。たとえば、図1Iの例において、論理領域3の露出部分は、ゲート酸化層が論理領域3において異なるゲート構造を互いに分離するまでエッチングされる。その後、レジスト層128と、反射防止膜(ARC層)127Aと、ハードマスク層127Bとのうち少なくとも1つは除去されてよく、図1Jに示すような論理デバイスのゲート構造をもたらす。
図2〜図4を参照して、論理ゲート構造は、たとえば1以上のゲート材料層を堆積し、ゲート材料層を論理ゲート構造31にパターン化することによって形成されうる。ゲート材料は、図1A〜図1Jを参照して説明されたように、たとえば第1ポリシリコン層110を含みうる。しかしながら、ゲートは、他の型のゲート材料でもよく、2以上の材料層を含んでもよい。
図2Aに示すように、ゲート材料層が堆積され、制御ゲート構造15が形成されるときに、たとえばレジスト層128が図1Iに示す段階において提供されパターン化される前に、充填材料層130は、メモリ領域1と論理領域3に(そして、もし存在するのであれば、高電圧領域2にも)堆積されうる。図2Bに示すように、充填材料層130は、それから、充填材料層130の厚さを低減することによって、少なくとも1以上のゲート材料層の表面層34が露出されるまで部分的に除去されうる。それによって、メモリ領域1と論理領域3の形状の不均一性が低減されうる。したがって、メモリデバイスへのダメージのリスク、たとえば周辺領域Pにおける孔によるダメージのリスクは低減されうる。さらに、充填材料層130は、処理ステップの流れの完全な作り直しを必要とすることなく、堆積(および除去)されうる。
積層構造とゲート材料層にダメージを与えることなく、充填材料層130の所望の部分を選択的に除去する適切な処理を用いて、充填材料層130は部分的に除去されうる。
図示のように、充填材料層130は、論理領域3と高電圧領域2の空いている空間を充填する。つまり、充填材料層130の部分的除去後に、それらの空間と積層構造の表面の間の高さの違いが低減される。図2の例において、表面層34が露出するまで、充填材料層130の厚さは、基板上にわたっておおよそ均一に低減される。したがって、充填材料層130の部分的除去後に、高電圧領域2と論理領域3は、非常に低い形状を有し、ほぼ平坦となる。また、メモリ領域1における積層構造間に位置するトレンチは、充填材料層130によって充填され、充填材料層130の部分的除去後に、トレンチと積層構造の表面との高さの違いもまた低減される。
図2A〜図2Eの例において、充填材料層130は、ハードマスク層127上に堆積される。ハードマスク層127は、ゲート材料層、たとえば論理領域3における第1ポリシリコン層110または高電圧領域2における第3ポリシリコン層121に、そしてメモリ領域1における制御ゲート構造15上に堆積されていてもよい。図示のように、充填材料層130は、つまり浮遊ゲート構造14と制御ゲート構造15の積層構造を覆い、論理領域3におけるゲート材料層を覆いうる。
図示のように、充填材料層130は、したがってゲート材料層上においてだけでなく浮遊ゲート構造14と制御ゲート構造15の積層構造上において除去されうる。一方、メモリ領域1において、充填材料層130の一部は積層構造間のトレンチに残存し、高電圧領域2と論理領域3において、ゲート材料層の周囲の空間は充填材料層130によって充填された状態を維持する。図2Bに示すように、積層構造間のトレンチにおける充填材料層130の残存膜厚は、ゲート材料層の隣の空間における厚さを上回ってもよく、たとえば積層構造の高さよりも小さいがゲート材料層の厚さよりも大きくてもよい。
充填材料層130の堆積後に、充填材料はさらなる処理に晒されてもよい。たとえば、後処理における耐性を向上すべく、充填材料を硬化するために、キュアが実行されてもよい。また、キュアは、後処理における温度への耐性を向上させるべく、実行される。
図3Aの例に示すように、表面層34は、たとえばゲート材料層(たとえば、この例において第1ポリシリコン層)110自身でもよいし、または図2と図4に示すように、ゲート材料層31を覆う層127、133でもよい。ゲート材料層110を覆う層は、たとえばハードマスク層127でもよく、たとえば図4に示すように、たとえばTEOSハードマスクまたは(無機)反射防止(たとえば、DARC、反射防止絶縁膜)膜133でもよい。ゲート材料層110を覆う層は、たとえば充填材料層130が適用される前に、提供されていてもよい。
充填材料層130の厚さを小さくした後に、論理ゲート構造31は、ゲート材料層110から形成されうる。たとえば図2Cに示すように、表面層34が覆われるように、フォトレジスト層132は、1以上のゲート材料層に堆積されうる。たとえば、フォトレジスト層132は、ウェハ領域全体にわたって適用されうる。フォトレジスト層132は、たとえばメモリ領域1、高電圧領域2、および論理領域3の表面を覆いうる。フォトレジスト層132は、図2Cに示すように表面層34の一部が露出されるように、論理領域3においてパターン化されうる。
図2Cに示すように、フォトレジスト層132を堆積する前に、反射防止膜(ARC層)131が堆積されていてもよい。たとえば充填材料の前に反射防止膜が堆積されていなかった場合に、たとえば、ボトム反射防止膜(BARC膜)または反射防止絶縁膜(DARC膜)といった反射防止膜が堆積されうる。反射防止膜131は、たとえば充填材料層130の残存部分の表面と、露出された表面層34に堆積されうる。反射防止膜131は、論理領域3の表面や、メモリ領域1と高電圧領域2といった領域における他の部分に堆積されうる。
論理領域3における表面層34の部分が露出され、かつ表面層34が露出される領域においてゲート材料層が少なくとも部分的に除去されうるように、フォトレジスト層132は、パターン化されうる。たとえば図2Dに示すように、表面を形成する第1層、この例において反射防止膜131と、表面層34とゲート材料層31との間にある他の層127とは除去されうる。たとえば、ボトム反射防止膜131とハードマスク層127は、表面層34が露出された位置において除去されうる。
図2Eに示すように、その後に、充填材料層130と、反射防止膜131と、フォトレジスト層132とは、除去されうる。たとえば、充填材料層130と、反射防止膜131と、フォトレジスト層132とは、ドライレジスト剥離媒体または適切な溶媒といった適切な剥離媒体に露出されうる。
図2Eに示すように、フォトレジスト層132によって覆われた領域において、これはハードマスク層127によって覆われたゲート材料をもたらしうる。論理領域3において、ハードマスク層127によって覆われていないゲート材料の部分は、それからエッチング媒体に露出されてもよく、それらの露出された部分137において除去されたゲート材料をもたらし、図1Jを参照して説明されたように、分離ゲート構造を得ることができる。ハードマスク層127は、たとえば、マスク層を、ドライレジスト剥離媒体または適切な溶媒といった適切な剥離媒体に露出することによって、その後に除去されうる。
充填材料層130は、任意の適切な充填材料でありうる。充填材料は、たとえばフォトレジストまたは絶縁樹脂、すなわち任意のSOD(スピンオンダイエレクトリック、Spin on dielectric)またはポリマーから作製されうる。たとえば、水銀ランプからのi線の光に敏感なフォトレジストは、適切な型のフォトレジストであることがわかっている。
図3Aに示すように、たとえば、絶縁樹脂層130’は、充填材料として使用されうる。適切な絶縁樹脂は、ダウケミカル社によってSiLKの名前で取引される絶縁樹脂であることがわかっている。図3Bに示すように、絶縁樹脂層130’の厚さを低減した後に、たとえばアモルファスカーボンまたは他のハードマスクである層134が堆積されうる。アモルファスカーボン層134上に、反射防止絶縁膜(DARC膜)が適用される。図3Bを参照すると、フォトレジスト層136は、反射防止絶縁膜135上に堆積されうる。フォトレジスト層136は、所望の場所において、たとえば論理領域3と、その後のフォトレジスト層136によって覆われていない部分とにおいて、パターン化されてもよく、1以上の層がエッチングされてもよい。たとえば、反射防止絶縁膜135と、アモルファスカーボン層134と、ゲート材料層とのうち少なくとも1つは、除去されうる。残存するフォトレジスト層136と、反射防止絶縁膜135と、アモルファスカーボン層134と、充填材料層130’は、そこでドライレジスト剥離または適切な溶媒といった適切な処理を用いて、除去されうる。
先に述べたように、本発明は本発明の実施形態の詳細な例に関連して記述されている。それは、しかしながら、係属する請求項に記載されるように、様々な修正および変化が、本発明の広い精神と本発明の範囲から逸脱することなくなされることが、明らかであろう。たとえば、ここで記述された半導体基板は、GaAs、SiGe、SOI(Silicon−on−insulator)、シリコン、単結晶シリコンやそれらの組合せといった任意の半導体材料または材料の組合せでありうる。また、パターン化されたフォトレジストの代わりに、パターン化されうる他の保護層が使用されてもよく、他の保護層は、たとえばエッチング媒体に対してそれぞれの材料によって覆われた層を保護する。さらに、保護層は、フォトリソグラフィ、電子ビームリソグラフィ、または他の適切なパターニング技術といった任意の適切なパターニング技術を用いて、パターン化されてもよい。
しかしながら、他の修正、変化、および代替もまた可能である。明細書および図面は、したがって限定的な趣旨ではなく、説明に役立つものとみなされるべきである。
請求項において、括弧間に配置された任意の参照符号は、請求項を限定するように解釈されるべきではない。語「comprising」は、請求項にリスト化された要素またはステップから、他の要素または他のステップを排除しない。さらに、「a」および「an」は、「1つ」に限定して解釈されるべきではなく、代わりに「少なくとも1つ」を意味すべく使用され、複数を除外してはいない。請求項における「少なくとも1つ」および「1以上」といった前置きの句の使用は、同じ請求項が「1以上」または「少なくとも1つ」と、「a」または「an」といった不定冠詞を含んでいたとしても、不定冠詞「a」または「an」による他の請求要素の導入が、そのように導入された請求要素を含む任意の特定のクレームを限定するよう意味すると解釈されるべきではない。定冠詞の使用についても同様である。別に記載されない限り、「第1」と「第2」は、記述された言葉のような要素間において任意に区別すべく使用される。したがって、これらの言葉は、必ずしもそういった要素の一時的または他の優先順位付けを示すよう意図されていない。しかるべき手段が、互いに異なる請求項に記載されているという単なる事実は、これらの手段の組合せが利益をもたらすのに使用されないということを示してはいない。

Claims (10)

  1. 基板(4)上に半導体デバイスを製造する製造方法であって、前記製造方法は:
    前記基板(4)のメモリ領域(1)に、浮遊ゲート構造(14)と、前記浮遊ゲート構造とともに積層構造に位置する制御ゲート構造(15)とを形成することによって、不揮発性メモリを形成するメモリ形成ステップと;
    前記基板(4)の論理領域(3)に、少なくとも1つのゲート材料層(110)を形成する論理ゲート材料形成ステップと;
    前記制御ゲート構造(15)と前記ゲート材料層(110)の形成後に、前記メモリ領域(1)と前記論理領域(3)に充填材料層(130、130’)を堆積する充填材料堆積ステップと;
    前記メモリ領域(1)と前記論理領域(3)において、少なくとも1つの前記ゲート材料層の表面(34)が少なくとも露出するまで前記充填材料層(130、130’)の厚さを低減するように、前記充填材料層(130、130’)を部分的に除去する除去ステップと;
    前記ゲート材料層(110)から論理ゲート構造(30、31)を形成することによって、前記論理領域(3)に論理デバイスを形成する論理デバイス形成ステップと
    を備えることを特徴とする、半導体デバイスの製造方法。
  2. 前記論理デバイス形成ステップは更に:
    前記メモリ領域(1)と前記論理領域(3)に、フォトレジスト層(128、132、136)を堆積するステップと;
    前記論理領域(3)において、前記フォトレジスト層(128、132、136)をパターニングすることによって、前記表面の一部を露出させるステップと;
    前記表面露出した場所において、前記ゲート材料層(110)を少なくとも部分的に除去するステップと
    を備える、請求項1記載の製造方法。
  3. 前記論理デバイス形成ステップは更に、前記フォトレジスト層(132、136)を堆積する前に、前記充填材料層(130、130’)の残存部分と、前記露出された表面(34)とに、反射防止膜(131、133)を堆積するステップを備える、請求項2記載の製造方法。
  4. 前記製造方法は更に、前記表面(34)が露出された場所において、前記ゲート材料層(110)と前記表面(34)の間の1以上の層(127、131)を除去するステップを備える、請求項2または3記載の製造方法。
  5. 前記製造方法は更に、前記充填材料層(130、130’)を堆積する前に、前記制御ゲート構造(15)と、ゲート材料(110)の少なくとも1つの層とに、ハードマスク層(127)を堆積するステップを備える、請求項1〜4何れか一項記載の製造方法。
  6. 前記論理デバイス形成ステップは、前記ゲート材料層のパターニングの後に、適切な液体を用いて前記充填材料層(130、130’)を除去するステップを備える、請求項1〜5何れか一項記載の製造方法。
  7. 前記充填材料層(130、130’)は、フォトレジストと、絶縁樹脂と、スピンオンダイエレクトリックと、有機ポリマーとのうちから選択された1以上の材料から製造される、請求項6記載の製造方法。
  8. 前記論理デバイス形成ステップは:
    前記充填材料層(130、130’)の残存部分と、前記露出された表面(34)とにアモルファスカーボン層(134)を堆積するステップと;
    反射防止絶縁膜(135)を堆積するステップと;
    フォトレジスト層(136)を堆積するステップと
    のうちの1以上のステップを備える、請求項1〜7何れか一項記載の製造方法。
  9. 前記製造方法は更に、前記基板(4)に高電圧デバイス(20)を形成するステップを備える、請求項1〜8何れか一項記載の製造方法。
  10. 請求項1〜9何れか一項記載の製造方法によって得られた、半導体デバイス。
JP2010518760A 2007-08-01 2007-08-01 半導体デバイスの製造方法およびそれによって得られる半導体デバイス Withdrawn JP2010535410A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/IB2007/054859 WO2009016437A1 (en) 2007-08-01 2007-08-01 Method of manufacturing a semiconductor device and semiconductor device obtainable therewith

Publications (1)

Publication Number Publication Date
JP2010535410A true JP2010535410A (ja) 2010-11-18

Family

ID=39204818

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010518760A Withdrawn JP2010535410A (ja) 2007-08-01 2007-08-01 半導体デバイスの製造方法およびそれによって得られる半導体デバイス

Country Status (4)

Country Link
US (1) US8043951B2 (ja)
JP (1) JP2010535410A (ja)
KR (1) KR101374579B1 (ja)
WO (1) WO2009016437A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399310B2 (en) 2010-10-29 2013-03-19 Freescale Semiconductor, Inc. Non-volatile memory and logic circuit process integration
WO2012154973A1 (en) * 2011-05-10 2012-11-15 Jonker, Llc Zero cost nvm cell using high voltage devices in analog process
US8906764B2 (en) 2012-01-04 2014-12-09 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US8951863B2 (en) 2012-04-06 2015-02-10 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US9087913B2 (en) 2012-04-09 2015-07-21 Freescale Semiconductor, Inc. Integration technique using thermal oxide select gate dielectric for select gate and apartial replacement gate for logic
US9111865B2 (en) 2012-10-26 2015-08-18 Freescale Semiconductor, Inc. Method of making a logic transistor and a non-volatile memory (NVM) cell
US9006093B2 (en) 2013-06-27 2015-04-14 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high voltage transistor integration
US8877585B1 (en) * 2013-08-16 2014-11-04 Freescale Semiconductor, Inc. Non-volatile memory (NVM) cell, high voltage transistor, and high-K and metal gate transistor integration
US8871598B1 (en) 2013-07-31 2014-10-28 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology
US9129996B2 (en) 2013-07-31 2015-09-08 Freescale Semiconductor, Inc. Non-volatile memory (NVM) cell and high-K and metal gate transistor integration
US9082837B2 (en) 2013-08-08 2015-07-14 Freescale Semiconductor, Inc. Nonvolatile memory bitcell with inlaid high k metal select gate
US9252246B2 (en) 2013-08-21 2016-02-02 Freescale Semiconductor, Inc. Integrated split gate non-volatile memory cell and logic device
US9082650B2 (en) 2013-08-21 2015-07-14 Freescale Semiconductor, Inc. Integrated split gate non-volatile memory cell and logic structure
US8932925B1 (en) 2013-08-22 2015-01-13 Freescale Semiconductor, Inc. Split-gate non-volatile memory (NVM) cell and device structure integration
US9275864B2 (en) 2013-08-22 2016-03-01 Freescale Semiconductor,Inc. Method to form a polysilicon nanocrystal thin film storage bitcell within a high k metal gate platform technology using a gate last process to form transistor gates
US8901632B1 (en) 2013-09-30 2014-12-02 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-K and metal gate integration using gate-last methodology
US9136129B2 (en) 2013-09-30 2015-09-15 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-last methodology
US9129855B2 (en) 2013-09-30 2015-09-08 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology
US9231077B2 (en) 2014-03-03 2016-01-05 Freescale Semiconductor, Inc. Method of making a logic transistor and non-volatile memory (NVM) cell
US9112056B1 (en) 2014-03-28 2015-08-18 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9472418B2 (en) 2014-03-28 2016-10-18 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9379222B2 (en) 2014-05-30 2016-06-28 Freescale Semiconductor, Inc. Method of making a split gate non-volatile memory (NVM) cell
US9343314B2 (en) 2014-05-30 2016-05-17 Freescale Semiconductor, Inc. Split gate nanocrystal memory integration
US9257445B2 (en) 2014-05-30 2016-02-09 Freescale Semiconductor, Inc. Method of making a split gate non-volatile memory (NVM) cell and a logic transistor
CN107425003B (zh) * 2016-05-18 2020-07-14 硅存储技术公司 制造分裂栅非易失性闪存单元的方法
US11527543B2 (en) * 2020-06-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Polysilicon removal in word line contact region of memory devices

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960012303B1 (ko) * 1992-08-18 1996-09-18 삼성전자 주식회사 불휘발성 반도체메모리장치 및 그 제조방법
US5622881A (en) 1994-10-06 1997-04-22 International Business Machines Corporation Packing density for flash memories
US5723355A (en) * 1997-01-17 1998-03-03 Programmable Microelectronics Corp. Method to incorporate non-volatile memory and logic components into a single sub-0.3 micron fabrication process for embedded non-volatile memory
US5899713A (en) * 1997-10-28 1999-05-04 International Business Machines Corporation Method of making NVRAM cell with planar control gate
TW449919B (en) * 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
KR100686681B1 (ko) * 1999-02-01 2007-02-27 가부시키가이샤 히타치세이사쿠쇼 반도체 집적 회로 및 불휘발성 기억 소자
WO2001047012A1 (en) * 1999-12-21 2001-06-28 Koninklijke Philips Electronics N.V. Non-volatile memory cells and periphery
EP1569274B1 (en) * 2004-02-24 2010-01-20 STMicroelectronics S.r.l. Process for manufacturing semiconductor integrated non volatile memory devices
JP4429036B2 (ja) * 2004-02-27 2010-03-10 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR20080094249A (ko) * 2007-04-19 2008-10-23 삼성전자주식회사 비휘발성 기억 장치의 제조 방법

Also Published As

Publication number Publication date
WO2009016437A1 (en) 2009-02-05
KR101374579B1 (ko) 2014-03-17
US8043951B2 (en) 2011-10-25
US20100227467A1 (en) 2010-09-09
KR20100049573A (ko) 2010-05-12

Similar Documents

Publication Publication Date Title
JP2010535410A (ja) 半導体デバイスの製造方法およびそれによって得られる半導体デバイス
US7838370B2 (en) Highly selective liners for semiconductor fabrication
US7563712B2 (en) Method of forming micro pattern in semiconductor device
CN110739210A (zh) 半导体结构及其形成方法
US20080261389A1 (en) Method of forming micro pattern of semiconductor device
US20090004862A1 (en) Method for forming fine patterns in semiconductor device
JP6370139B2 (ja) Finfet構造のドーパント注入方法
US9252022B1 (en) Patterning assist feature to mitigate reactive ion etch microloading effect
KR102295523B1 (ko) 미세 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US20090061641A1 (en) Method of forming a micro pattern of a semiconductor device
US20070013070A1 (en) Semiconductor devices and methods of manufacture thereof
US8241512B2 (en) Ion implantation mask forming method
US20120276745A1 (en) Method for fabricating hole pattern in semiconductor device
KR101511159B1 (ko) 반도체 소자의 패턴 형성 방법
US10236185B2 (en) Method of forming patterns for semiconductor device
US7186614B2 (en) Method for manufacturing high density flash memory and high performance logic on a single die
US11374103B2 (en) Gate structure and photomask of NAND memory and method for making the same
US20100248467A1 (en) Method for fabricating nonvolatile memory device
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
US8709946B2 (en) Method for forming contact hole
KR101183640B1 (ko) 반도체 소자의 콘택 플러그 형성방법
US7651933B2 (en) Method of fabricating semiconductor device
TWI449085B (zh) 半導體元件的製程方法
US9553047B2 (en) Method of manufacturing semiconductor devices with combined array and periphery patterning in self-aligned quadruple patterning
TWI629749B (zh) 半導體元件及其製造方法與記憶體的製造方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20101005