JP2009522571A - Icテスト方法及び装置 - Google Patents

Icテスト方法及び装置 Download PDF

Info

Publication number
JP2009522571A
JP2009522571A JP2008549090A JP2008549090A JP2009522571A JP 2009522571 A JP2009522571 A JP 2009522571A JP 2008549090 A JP2008549090 A JP 2008549090A JP 2008549090 A JP2008549090 A JP 2008549090A JP 2009522571 A JP2009522571 A JP 2009522571A
Authority
JP
Japan
Prior art keywords
clock
circuit
test
signal
transition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008549090A
Other languages
English (en)
Inventor
ワイヤーズ トム
ツェー マイルレヴェデ ヨハン
ピー プライス ディビット
ショーマン ノルベルト
ソルバッハ リュディガー
フルーリ エルブ
エル プルス ヨゼフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP BV
Original Assignee
NXP BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP BV filed Critical NXP BV
Publication of JP2009522571A publication Critical patent/JP2009522571A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318577AC testing, e.g. current testing, burn-in
    • G01R31/31858Delay testing

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

異なる周波数で別々に関連付けられた第1及び第2のクロック信号を有する少なくとも2つのコアを含む多数のコアを備える集積回路をテストする方法を提供する。テスト信号は、テスト周波数でクロックされたクロックドスキャンチェーン(TCK)を用いて供給される。クロック回路用リセット信号における遷移を用いて、集積回路の内部クロック(40)から第1及び第2のクロック信号(clk_xx,clk_yy,clk_zz)を取り出すクロック分周回路(44)の動作をトリガするクロック回路用リセット信号(clockdiv_rst)に遷移を与える。これにより、第1及び第2のクロック信号がほぼ同時に始動し、これらは、集積回路のテストを行うためのテストモードの間、用いられる。テスト後、テスト結果がテスト周波数でクロックされたクロックドスキャンチェーン(TCK)を用いて出力される。
クロック用ハードウェアも提供するとともに、実動作速度テストを提供し、シフトモード用の比較的遅いテスタ駆動クロックと、テストモード用のオンチップPLL及び分周回路によって発生させた比較的速いクロックとの間でオンザフライの切り換えを可能にするハードウェアを提供する。

Description

本発明は、包括的には、半導体集積回路のテストに関し、特に、BIST(ビルトインセルフテスト)回路などの集積化テスト回路を含む集積回路に関する。
半導体集積回路(IC)のテストのための1つの一般的なテスト技法には、スキャンテスト技法がある。これは、デバイスのクロックスピードに依存して、テストパターン(“ベクトル”と称される)をデバイスパッケージのピンに送出し、特定の時刻でこの出力応答を監視することを本質的に含んでいる。一組のテストベクトルを用いて、テスト下のデバイスの動作を調べることができる。これらのベクトルは、デバイスにおける製造欠陥を検出できるように設計されている。
自動テストパターン発生器(ATPG)は、このベクトルを発生するのに用いられ、縮退故障(stuck at)、遷移故障、及び経路の遅延故障用のテストパターンを供給する。集積回路のコアロジックなどのデジタル・システムのテストは、典型的には、システムにおけるスキャン可能なメモリ素子にテストパターンをロードし、このテストデータをシステムに送出し、システムクロックの1クロックサイクル以上の通常モードでシステムを動作し、システムの応答をテスト刺激として取得することにより行われる。このテスト応答は、システムから抽出され、システムが設計にしたがって動作していた場合に得られるはずの応答と比較することになる。テストパターンのスキャンは、いわゆる“シフトサイクル”で行われるが、システム応答をテストするシステム動作は、いわゆる“通常モードサイクル”で行われる。
個々の回路のテストの適用範囲を改善するために、DFT(Design for Test)ツールは、システム・オン・チップ(SoC)のシステムに、テスト回路を埋め込むように開発されている。例えば、ビルトインセルフテスト(BIST)回路をIC設時間調整に埋め込んで、個々の回路ブロックをテストすることができる。システム・オン・チップで埋め込まれたコア及びサブコアの各々は、自身のテスト入出力ポートを有し、隣接コアからの干渉なく、個別にテストできるようにする必要がある。いわゆるラッパーセルをコアの機能素子に設けることにより、テストデータが流れる経路を提供する。このテスト入出力ポートは、ラッパーセルの一部を形成し、機能的なトランスペアレントモード(transparent functional mode)、即ちテストモードで動作することができるようにする。
スキャンテストは、回路の内外でテストデータをスキャンするために、チップレジスタで幾つかのラッパーセルを共に連結することによって実行する。
特に、高速の遅延故障及びBISTテストの結果として、実動作速度テスト(at−speed testing)が望ましいことが分かっている。ここで云う速度は、テスタでは、もはや要求される速度/エッジ精度を提供できない場合を意味している。実動作速度(“@speed”)テストのやり方では、信号がスキャンチェーンに沿って伝播される周波数よりもかなり速い通常動作周波数で、テスト下のコアを動作することになる。この場合、テストクロック信号は、シフトモードサイクル用に用いられ、内部のPLL(位相ロック・ループ)の高速クロック信号は、通常モードサイクル用に用いられる。
複数のクロックドメインを有するシステムでは、困ったことも起こる。例えば、システム・オン・チップの集積回路は、様々なクロックドメイン及びクロック周波数を有する幾つかのデジタルモジュールを有することができる。1つの領域要素がシステムにおける他の領域要素と異なる周波数で動作するので、テストの間、クロックドメインを横断する信号の同期を保証する特別に定めた規則が必要である。そうでなければ、システムからのテスト応答を繰り返すことができず、テスト結果の信頼性が無くなってしまう。シフトモードサイクルの間に使用されるテストクロック周波数と全く異なりうる種々のクロック周波数を有する多数のコアの実動作速度テストは、特にシステムクロック間の未知の位相関係から生じる特定のタイミング問題を提示する。マルチ周波数設計は、各周波数領域を1つずつテストする場合、あまりに多くのパターンセットを生成してしまうことになる。
従って、マルチ周波数(高周波を含む)領域を並列にテストする方法論及びハードウェアが必要である。実際上、マルチクロックATPGは、テスト中のクロックドメインのインタフェース問題を解決するテスト(DFT)方法にとって、最も魅力的な設計であると考えられる。
本発明によれば、異なる周波数で別々に関連付けられた第1及び第2のクロック信号を有する少なくとも2つのコアを含む多数のコアを備える集積回路をテストする方法を提供し、本方法は、
第1のスキャンモードの間、テスト周波数でクロックされたクロックドスキャンチェーンを用いてテスト信号を回路に供給するステップと、
第1のスキャンモードを終了するステップと、
次に、クロック回路用リセット信号に遷移を与えるステップと、
前記クロック回路用リセット信号における遷移を用いて、集積回路の内部クロックから第1及び第2のクロック信号を取り出すクロック分周回路の動作を、第1及び第2のクロック信号がほぼ同時に始動するようにトリガするステップと、
テストモードの間、第1及び第2のクロックから取り出したタイミングでクロックされる少なくとも2つのコアを有する集積回路のテストを行うステップと、
テストモードを終了し、テスト周波数でクロックされたクロックドスキャンチェーンを用いてテスト信号に対する結果を出力する期間である第2のスキャンモードを開始するステップとを含む。
この方法は、実動作速度テストを提供し、シフトモード用の比較的遅いテスタ駆動クロックと、テストモード用のオンチップPLL及び分周回路によって発生させた比較的速いクロックとの間でオンザフライの切り換えを可能にする。
このように、スキャンイン及びスキャンアウトは、テストシステムに対して同期して行われる一方で、テストモード(遅延故障テスト又はBISTメモリテスト用のものとできる)は、PLLで発生したクロックにより行われる。通常モードは、内部クロックの振動子の入力周波数によってスケーリングされる一方で、スキャンイン及びスキャンアウトの各モードは、テストクロック周波数によってスケーリングされる。従って、2つの周波数(スキャンイン/スキャンアウトとテストモード)はデカップリングされ、スキャン動作に悪影響を及ぼすことなく、テストモードのタイミングを調整することができる。
クロック分周回路の動作のトリガとなるクロック回路用リセット信号を使用することにより、内部的に分周したクロックを同時に送出することができ、グリッジを避け、正しいクロック動作を提供することができる。
テストは、遅延故障テストを含むことができる。この場合、テストモードの間、第1及び第2のクロック信号の2クロックサイクルを提供する。2クロックサイクルのいずれか一方か、又は双方にクロックパルスを提供するように、第1及び第2のクロック信号を制御することができる。この制御は、用いる特定のテストパターンに基づいて選択され、クロック制御ブロックによって実行する。
テストモードは、クロック回路用リセット信号における別の遷移で終了させるのが好適である。
本方法は、BISTメモリテストのために用いることができる。この場合、第1及び第2のクロック信号をテストモードの間、連続して供給する。テストモードは、クロック回路用リセット信号の別の遷移で再び終了させる。
いずれかの場合で、第1のスキャンモードは、スキャンイネーブルラインの第1の遷移で終了させ、第2のスキャンモードは、スキャンイネーブルラインの第2の遷移で開始するのが好適である。この第2の遷移は、クロック回路用リセット信号における当該別の遷移の後である。
クロック分周回路の動作は、クロック回路用リセット信号における遷移の後に集積回路の内部クロックの遷移でトリガされるようにするのが好適である。従って、この内部クロックを使用して、クロック回路用リセット信号の遷移の後に、分周したクロックを同時に送出するように時間調整するようにする。
一例では、クロック回路用リセット信号は、クロック分周回路に供給すべき分周回路用リセット信号を取り出すのに用いられる前に、内部クロックを用いてラッチされる。このように、クロック回路用リセット信号は、クロック分周回路用の実際の分周回路用リセット信号を発生させるのに用いられる前に、内部クロックに同期させて安定化の問題を避けるようにする。
クロック分周回路の動作は、分周回路用リセット信号における遷移の後に、集積回路の内部クロックの次の立ち上がり遷移でトリガさせることができる。
本発明は、クロック信号を発生させ、且つ集積回路テスト回路の一部を形成する回路も提供し、本回路は、
クロック発生回路と、
前記クロック発生回路の出力から、異なる周波数の少なくとも第1及び第2のクロック信号を発生させるクロック分周回路と、
少なくとも本回路の外部入力として供給されるテストクロックと、前記第1及び第2のクロック信号との間で切り換えを行うクロック切換ユニットとを備え、
前記クロック切換ユニットが、前記クロック分周回路の動作を、前記第1及び第2のクロック信号がほぼ同時に始動するようにトリガするための信号を受信するリセット入力端を有する。
この回路は、オンチップで発生したクロック信号にクロック信号の同時の送出をもたらす。 これは、特に、実動作速度での多数のコアテストに適している。
前記第1及び第2のクロック信号の各々を、各クロック信号をゲートするためのそれぞれのクロック制御ブロックに供給して、選択したクロックパルスを供給するようにすることができ、ゲートしたクロック信号は、本回路の出力として供給する。このように、クロック信号をゲートすることにより、例えば、2つのクロック位相、即ち送出用クロック位相と、受信用クロック位相とを用いて遅延故障テストを実行することができる。
クロック切換ユニットは、クロック発生回路の内部クロックを用いてリセット入力にをラッチするためのラッチ回路配列を有することができる。これにより、分周回路用リセット信号として用いるべき信号の同期を提供する。
クロック切換ユニットは、リセット入力信号のタイミングに依存して少なくとも第1及び第2のクロック信号の発生の開始をトリガする分周回路用リセット信号を発生するためのロジック回路を備えることができる。クロック切換ユニットは、テストクロックと少なくとも第1及び第2のクロック信号との間で切り換えを行うためのマルチプレクサを有することができ、さらに、ロジック回路は、マルチプレクサのための制御信号を発生する。
ロジック回路は、本回路がトランスペアレントモードで動作するアプリケーションモード、テストクロックを使用するコアテストモード、テストクロックを使用する相互接続モード、及び内部的に発生させたクロック信号を用いる実動作速度テストモードを含む、複数のモードで本回路が動作できるようにする。実動作速度テストモードは、遅延故障テストモードとBISTメモリテストの双方を実行できるようにするのが好適である。
以下、添付図面を参照して本発明の実施例を詳細に説明する。
本発明は、異なるクロックドメインを有する多数のコアを備える集積回路を、実動作速度(“@speed”)テストを行うことに関する。特に、本発明は、遅延故障テスト及びBISTテストに関する。遅延故障テストでは、信号遷移を作り出し(送出イベントと称する)、この遷移が時間内にスキャンチェーンの受信素子に到着するか否かをテストする(捕捉イベントと称する)。このタイプのテストは、珪化物のひびなどのエラーによる接触エラーから生じうる(抵抗性の)開放回路タイプの欠陥が主要ターゲットとなる。
このタイプのテストは、欠陥が信号タイミングを大きく劣化させる前に、有意な抵抗値を取得できるように確立しておく。従って、この有効性を増大させるためには、テスト下のデバイスを十分高い周波数でテストする必要があり、これが実動作速度テストの理由の1つである。
さらに、テスト中に用いられる駆動電圧は、最大の駆動電圧とすべきであり、これにより能動素子(トランジスタ)の遅延が小さいときに、欠陥によって導入された遅延を比較的簡単に検出できるようにする。また、テストの温度も、テスト条件を最適化するように選択すべきである。
実動作速度テストを提供するために、本発明のシステムは、シフトモード用の比較的遅いテスタ駆動クロックと、通常モード用のオンチップPLL及び分周回路によって発生させた比較的速いクロックとの間でオンザフライの切り換えを可能にするハードウェアを提供する。従って、2つの周波数(スキャンイン/スキャンアウトと通常モード)はデカップリングされ、スキャン動作に悪影響を及ぼすことなく、通常モードのタイミングを調整することができる。
複数のクロックドメインを有する回路をテスト可能にするために、通常モードのクロックは、1つの特定のPLLのマスタークロックから得られる。これにより、多数の周波数領域を並列にテストできるようにし、各周波数領域が働いているときに、実動作速度が適切な周波数能力になるようにする。幾つかのクロックを、(振動子の入力周波数を調整することによって)必要な周波数テスト条件に比例してスケーリングすることができる。また、オンチップで発生させたクロックを使用することにより、内部の周波数を、テストするハードウェアの最大能力をはるかに超えて増大させることができる。全ての高速の信号をチップ上に留まらせることができ、高速インターフェースボードの必要性なく、従って雑音及び接触抵抗に対して低感度の低価格テスタで、テストが可能となる。
テストは、異なるクロックドメインを有する種々のコアに対して、テスト手順の一部としてクロックドメインをまたがって相互作用させることができる。これにより、テストの適用範囲と品質を増大させることができる。実動作速度テストを使用するときは、機能モードのものと同じクロック経路をできるだけ使用するようにする。これは、テストで、その適用範囲を高めながら、機能モード/アプリケーションモードと同じクロック特性(デューティサイクル、伝播遅延)を使用することを意味する。
まず、本発明の方法を説明し、続いて本発明を実施するのに適したテストするハードウェアを説明する。
図1に、本発明のテスト方法の一例である遅延故障テスト用のタイミング図を示す。
図1は、シフトモードサイクルを時間調整するのに用いるテストクロックTCKを示している。シフトモードは、スキャンイネーブルライン“se”がハイになるとアクティブになり、通常モードは、このスキャンイネーブルラインがローになるとアクティブになる。通常モードの間、この例では3つの内部クロック信号が各々異なる周波数で発生し、これらの内部クロック信号は、clk_xx,clk_yy、及びclk_zzとして示されている。これらの内部クロック信号は、PLLと分周回路で発生する。通常モードの間、テストクロックTCKは、非活性化される。
遅延故障テストのために、これらのクロック信号の個々の位相は、所望の送出イベントを制御するのに用いられる。特に、通常モードは、2クロックサイクルを用いる。クロック制御ブロック(CCB)は、クロック信号のclk_xx,clk_yy、及びclk_zzから所望のクロック位相を発生させる。
図1の例では、CCBは、1つのテストパターンの一部を形成する1ゲート回路配列を提供するのに使用される以下のクロック信号を発生する。
clk_g_xx: これは、2つのクロック位相があるクロック信号clk_xxのゲートのバージョンである。
clk_g_yy:これは、第1のクロック位相だけがあるクロック信号clk_yyのゲートのバージョンである。
clk_g_z1:これは、第2のクロック位相だけがあるクロック信号clk_zzのゲートのバージョンである。
clk_g_z2:これは、2つのクロック位相があるクロック信号clk_zzのゲートのバージョンである。
このタイミング図は、ゲートクロック信号のタイミングを制御するのに用いられるリセット信号clockdiv_rstを示しており、以下でさらにこれについて説明する。
信号clk_testshellは、例えばコア間のメモリ又は絶縁層の周りのBISTシェルのようなテスト構体だけを制御するクロックである。他のクロック(TCK以外)は、設計の機能素子を駆動する機能クロックである。
タイミングスキームは、次の3つのフェーズからなることが分かる。
(i)ラインseがハイの状態のスキャンインのフェーズ(第1のシフトモード)。このフェーズにおけるスキャンは、テスト制御ブロック設定のPLL初期化処理フェーズと制御も含んでいる。
(ii)ラインseがローの状態の実行フェーズ(通常モード)。これは、この例では遅延故障テストの通常モードであるが、代わりにBISTの通常モードとすることができる。
(iii)ラインseがハイの状態のスキャンアウトのフェーズ(第2のシフトモード)。 これは、この例では遅延故障テストのスキャンアウトモードであるが、代わりにBISTのシグネチャのシフトアウトモードとすることができる。
双方のスキャンフェーズは、テスタへの同期に必要であり、従って、テスタで規定されるクロック信号TCKで実行される。
実行フェーズは、複数の高速クロックパルスを必要とし、従って、全てのクロックは、内部クロック発生回路に切り換えられる。実行フェーズの間、ゲートクロック信号の同期送出とその非整列の捕捉を行う。
高周波クロック(この例ではclk_xx,clk_yy、及びclk_zz)は、内部的に発生させることにより、高価で高速なテスタ及び複雑な基板設計の必要性を避けることができる。各クロックドメインは、その適切な周波数で働く。従って、多数の周波数領域を有するコアは、テストの有効性を妥協することなく、並列にテストすることができる。
実行フェーズでは、スキャンイネーブル信号‘se’は、テストクロックTCKからのクロックを用いて、内部クロックを発生するのに用いられるクロック分周回路の内部出力に切り換えるようにする。
タイミング信号‘clockdiv_rst’は、クロック分周回路をイネーブルにするのに用いられ、このタイミング信号がローに向かうときに、これはクロックパルスをリリースする。正確には、2クロックサイクルをリリースして、その後、クロック制御ブロック(CCB)は、これらのクロックをゲートする。図示するように、CCBは、2つのクロックパルスのいずれかを抑制することができ、これにより、スキューセーフのテストパターンをマルチクロックATPGのために発生できるようにする。信号“clockdiv_rst”は、クロック分周回路を停止するためにハイにすることができ、このとき、信号‘se’は、ハイに戻して、クロックをテストクロックTCKに戻すように切り換え、シフトモードに戻すことができる。
従って、この回路配列は、クロックドメイン間のスキューセーフの実動作速度テストをもたらし、これにより、テストの適用範囲と品質を増大させる。これを達成するために、全てのクロックを同時にリリースし、正確には各クロックで2サイクルをリリースする。マルチクロックATPGとの組み合わせで、これは、全ての送出イベントを任意の捕捉イベントの前に生じさせ、これにより、クロックドメイン間のインタフェースを安全にテストできるのを確実にする。
以下、図1にて1〜7で付番したタイミング部分に関して、図1に示すテスト過程の種々のステージをさらに詳細に説明する。
1. テストセットアップ
実動作速度の遅延故障テストは、テストベクトルの単なる実行以上に関わりがある。テストを実行する前に、実動作速度のインフラストラクチャをセットアップする必要がある。
テストの前に、リング振動子をテストし、これに従って、リング振動子の周波数と各コア用の最大テスト周波数との間の以前に確立した相関関係に対して、振動子の入力周波数を調整する。
トップレベルテストの制御ブロック(TCB)を、適切な周波数で内部の位相ロック・ループ(PLL)を動作するように設定する。PLLを初期化し、且つロックに対してテストする。ロックのたびに、ユーザは、全ての連続するベクトルが振動子入力に完全に同期を保ち、PLLが確実に動作するのを確かめる必要がある。
2. スキャンイン
次に、テストベクトルを開始して、第1のベクトルをスキャンインすることができる。スキャンモードの間、全ての内部クロックを、テストクロックピンTCKに従うように切り換える。また、スキャンの間、クロック分周回路は、信号clockdiv_rstによるリセットで保持される。
3. 通常モードのエントリ
通常モードのエントリでは、テスト下のコアのスキャン可能なフリップフロップ(SFF)を通常モードに切り換え、内部クロックを、テストクロック信号TCKのゼロ位相の間、クロック分周回路の出力に切り換える。このポイントから進んで、クロック制御ブロック(CCB)は、クロックのリリース及びゲートを制御する。このゲートは、PLL、分周回路、クロック切換素子、及びCCBを含むクロック発生ユニットで実行することができる。
信号clockdiv_rstは、リセット内で独立してクロック分周回路を保持させることにより、テストクロックTCKのゼロ位相の間、クロック切換にグリッジがないようにする。
4.送出イベント
送出は、クロック分周回路用リセット信号clockdiv_rstの遷移で生じる。 クロック分周回路用リセット機構の故に、サブシステムにおける全てのクロックは、立ち上がりエッジで同時に開始し、送出イベントをトリガする。
5.捕捉イベント
PLL及び分周回路が発生したクロックは、実動作速度で動作し、第2のクロックサイクルを適切な周波数でリリースする。この第2のクロックサイクルの後に、CCBシーケンサは、全ての別のクロックサイクルを抑制する。
6.通常モードの退出
全ての捕捉イベントを達成した後、リセット信号clockdiv_rstを、ハイにして、内部クロックを再びゼロにゲートする。捕捉に必要な時間に適応させるために、通常モードは、サイクル数を伸ばす必要もありうる。
7. シフトモードへの戻し
最終的なステップは、シフトモードに戻すように切り換えを行い、テスタ用のテストクロックTCKを同期してシフトアウトし、次のパターンについてシフトインする。
この機構の1つの主要な特徴は、ゲートクロック信号を整列して送出することである。このやり方では、通常モードのクロックは、機能クロックの分周回路から取得する。何らかの形式の同期がなければ、様々なクロックが、無作為の順序になりうる。しかしながら、(マルチクロックATPGによるか、又は他のものによるかのいずれかで)遅延故障の多数のクロックドメインのテストの主な要件は、任意の捕捉イベントの前に、全ての送出イベントが発生していなければならないことである。
この要件は、既知のATPGアルゴリズムから生じる。ATPGは、送出と捕捉が2つの独立したイベントであるとみなしている。これは、マルチクロックATPGが、送出及び捕捉イベントとは独立して、クロックドメインの交差位置を保持(又は、マスク化)を計算することを暗に示している。1つのクロックドメインで送出して、(幾つかの)他のクロックドメインで保持することによって、安全な送出を行うことができ、同様に捕捉を行うこともできる。 しかしながら、このクロックスキームは、別の領域の捕捉クロックの後に送出クロックを設置する場合、後者の捕捉は、ATPGによる適切なマスキングがなく、送出を誤らせることになる。
この要件について図2を参照して説明する。
この例では、クロックドメインz1は、クロックドメインz2にインタフェースし、一方で、このz2は、領域yyにインタフェースする。マルチクロックATPGは、適切にインタフェースと保持/マスクを識別するために適用される。
ATPGアルゴリズムは、z1とz2との間のインタフェースとして働くパターンを作成する。z1はz2に送出し、z2による捕捉は、z1の捕捉クロックをゲートすることによって(点線)、安全に行う(図2における実線)。
z2の送出パルスは、ゲートされる。また、z2が捕捉されているとき、ATPGは、z2からyyまで進むデータをマスクする。しかしながら、ATPGは、捕捉イベントに対してこれを行うだけであり、yyの送出イベントに対して行うものではない(ATPGの条件では、z2からyyまでの最終のベクトルデータだけがXにマスクされ、初期のベクトルデータは、z2が送出の間は保持状態であるので、有効とみなされる)。
領域yyは、領域z2における捕捉の後に遅い送出で示している(十字で示す)。 これは、標準のATPGでは識別したり、訂正したりすることができない。このため、送出同期機構が必要になる。
従って、全ての送出及び捕捉のサイクルの順序を予測することが不可欠である。本発明の方法によって、全てのクロックを同期して送出するが(しかしながら、必ずしもスキューがなくなるわけではない)、捕捉クロックを非整列にすることができる。様々なクロックドメインのインタフェース技法を使用して、位相差、及び/又は、種々の周波数に対処することができる。
上述したやり方は、BISTメモリテストに適用することができ、図3は、BISTの実動作速度のタイミング図を示している。
これは、図1と本質的には同じであるが、領域30に示すように、無制限な量の(高速な)通常モードクロックサイクルが通常モードで許容される。これは、メモリを並列にテストすることができ、各メモリを適切な周波数でテストすることができる。
ここで、図4を参照して、クロックするハードウェアを説明する。上の説明から明らかなように、ハードウェアが可能にする必要がある様々なタイミング要件がある。
− テスト(即ち、通常モード)の前に、PLLを初期化して、テストの間、ロックしたクロックを保持することができるようにしなければならない。
− グリッジをなくすために、テスタクロックピン(TCK)と内部クロックとの間で切り換える場合に、切換前後で行う共通のクロック位相を規定する必要がある。これを達成するために、信号clockdiv_rstがアクティブ(ハイ)になる間、共通のPLLソースからクロックを発生する全てのクロック分周回路を設定して、ローレベルを出力するようにする。
− ロバストな多数のクロックドメインのテストを可能にするために、全ての送出イベントを捕捉イベントの前で生じさせる。これを達成するために、信号clockdiv_rstの遷移をローにするとき、全てのクロック分周回路は、立ち上がりエッジで開始するようにする。
− アプリケーションモードの間と同じタイミング状態をテストの間でできるだけ保証するために、これらのクロックは、アプリケーションクロック発生回路及びクロック経路を利用するようにする。テストのために特定のクロックの発生を全く実行しないので、1つのクロック発生回路の設計になり、設計とタイミングを近づける努力が低減することを暗に示している。
PLL回路40は、基準入力42から基準クロックを供給する。クロック分周回路44は、所望のクロック周波数を発生する。クロックスイッチモジュール46は、クロック分周回路44の後に挿入され、テスタクロックピン48(TCK)とクロックを発生させたPLL−分周回路との間での切り換えを提供する。補助入力ピン50のclockdiv_rstは、スキャンイネーブルライン“se”の信号とは無関係に、この切り換えを制御するのに用いられる。
この信号のゲートで取り出された信号52(clockdiv_g_rst)がクロック分周回路44に出力され、クロックの活性化を制御する。
スイッチブロック46の後に、クロック制御ブロック(CCB)モジュール54が各クロック出力に挿入される。(クロック発生ユニットのレベルか、又は、利用可能であれば、チップレットのレベルにおける)これらのCCBは、通常モードの間にリリースしたクロックのパルス数を制御する。例えば、或るときは、縮退故障テストに用いることができ、或るときは、遅延故障テストに用いることができ、これらのクロックの各々をゲートすることができる。
クロック分周回路に関連付けられた制御ブロックを追加するやり方は、少数の重要な信号を時間調整することが可能な一般的なやり方でよい。クロック信号の使用は、一定に動作していなくとも、同期して送出するものであれば、ロバストな設計をもたらし、スキュー/グリッジの問題を避けることができる。
また、図4は、テスト制御ブロック(TCB)56を示している。図4では、TCB56、CCB54、及びクロックスイッチ46は、機能コンポーネントであり、PLL40及び分周回路44は、テスト特有のものである。
クロックスイッチは、図5により詳細に示している。
まず、ピンclockdiv_rstからの信号は、準安定性の問題を避けるためにPLLクロックと同期させる。これは、フリップフロップ60,62を用いて達成する。
ロジックゲート回路配列64は、分周回路用リセット信号clockdiv_g_rstの形態で、クロック分周回路に対して制御信号を発生させ、且つテストクロックTCKをCCBに供給するか、又は内部的に発生させたクロック68をCCBに供給する出力マルチプレクサ66に対して制御信号を供給する。従って、マルチプレクサ機能は、各クロック分周回路の出力及びテスタクロックピンTCKの単純な2:1多重である。
clockdiv_rst信号がアサートされる場合、クロック分周回路は、(同期して)ゼロにリセットして、分周回路のクロックとテスタクロックピンTCKとの間の切り換えを可能にする。この信号が通常モードにデアサートされると、その瞬間に実動作速度におけるクロックが動作可能になる。
回路の機能は、図6の表に示されている。
ロジック64は、図示するように3つの制御入力を有し、これらは以下の通りである。
(i)tck_sel
これは、テスト動作のためのテストクロック周波数を選択するか(従来からのテストの場合、tck_sel=1)、又は内部的に発生したクロック信号を選択する(実動作速度テストの場合、tck_sel=0)ための制御ラインである。
(ii)seq_se
これは、スキャンイネーブルラインの状態に依存して、クロック分周回路及びマルチプレクサを制御するものであり、“S”として図6の表に示している。
(iii)tck_en
これは、包括的なイネーブルラインとして機能し、マルチプレクサがTCKテストクロックを出力可能にするにはハイにする必要があり、且つクロック分周回路の動作パルスclockdiv_g_rstを発生するためにハイにする必要がある。
ロジック64は、マルチプレクサ制御入力を発生する第1のANDゲート64aを備え、これは、tck_enがハイであり、且つtck_selとseq_seの一方又は双方がハイのときに、ハイになる。第2のANDゲート64bは、クロック分周回路用のリセット信号clockdiv_g_rstを発生し、このリセット信号は、入力clockdiv_rstのハイからローへの遷移がフリップフロップ60,62を介して伝播されたとき、ローに向かう。
ORゲート64c,64dは、上述した機能性を完了させるものであり、ORゲート64cは、tck_sel又はseq_seに基づいてANDゲート64aに入力を供給し、他方のORゲート64dは、制御ラインseq_se及び同期化信号clockdiv_rstのOR機能を提供する。
図6から分かるように、ロジック64は、多数のモードを実行可能にする。
アプリケーションモードは、3つの制御ラインが全てローの状態で、クロック回路をディセーブルにして、分周回路のリセット信号clockdiv_g_rstをリセットするようにする。
ハードウェアは、コアテスト、相互接続テスト、デバッグテスト、及び縮退故障テストをサポートし、これらのモードの各々のために、マルチプレクサ制御ラインをハイにして、テストクロックTCKがマルチプレクサからの出力されるようにする。これは、tck_sel及びtck_enが双方ともハイの結果である。
また、図6は、全ての制御ラインがハイの状態である、シフトアウトモードを示しており、そこでは、マルチプレクサは、テストクロックTCKを出力する。
図6に示すように、実動作速度テストは、1のtck_enと、0のtck_selの制御ラインを有する。これは、マルチプレクサの制御がSの値に依存することを意味する。S=1、即ちシフトモードの間であるときに、マルチプレクサは、クロック信号TCKを出力するように制御される。S=0、即ち通常モードの間、マルチプレクサは、内部的に発生したクロックを出力するように制御される。従って、seq_seは、クロック用マルチプレクサを制御して、clockdiv_rstがクロック分周回路をイネーブルにするか、又はリセットすることができるようにする。
更に、クロック分周回路は、制御ラインclockdiv_rst50によってのみ開始させられる。これは、シフトモードの間の1から通常モードの開始への0までの遷移を有する。 この1から0への遷移は、一旦clockdiv_rstの遷移がフリップフロップ60,62を介してclockdiv_g_rstのクロック分周回路の入力端に伝播されると、クロック分周回路の動作の始まりを開始する。
このピンにて、clockdiv_rstをスキャン入力としてまだ使用できるが、制御信号seq_seがローに向かう前に(その逆も同様に、通常モードは退出する前に)、(いつか)ハイが入力される必要がある。
クロック分周回路44は、各分周回路が送出エッジから始まるように保持/リセット機能を提供する必要がある。全てのクロック出力は、保持がアクティブであるときには、ローに保持しておく必要があり、一旦保持が不活発状態になると、立ち上がりエッジから開始しなければならない。この動作は、図7に示されている。
信号clk_div_inは、PLL出力であり、この信号は、2,3及び4で分周されたPLLクロックに対応する分周クロック出力clk_div2、clk_div3、及びclk_div4を供給するように更に分周される。
図示するように、リセット信号clockdiv_g_rstがローになった後のPLLクロックのclk_divの次の立ち上がりエッジは、分周クロックの始まりをトリガする。
分周されたPLLクロックを有する通常モードの終わりでは、保持機能を、(clockdiv_g_rstがハイに向かうとき)再度アサートし、全てのクロック分周回路を、0のクロック位相で保持/リセットする必要がある。これは、同期して行うのが好適であり、リセットの活性化が、例えばBISTエンジンの解析器にて、潜在的にデータを誤りうるグリッジを生成しないようにする。上で概説したように、遅延故障テストの場合、クロックの正確な数がCCBによって制御されるので、clockdiv_g_rstによってクロックを停止するのは重大なことではない。
BIST−特に診断−に関して、クロックの不確実性に通じうるグリッチをクロックが生じないことが重要である。従って、分周回路は、図8に示すような波形を供給する必要がある。リセット信号clockdiv_g_rstがハイに戻った後に、PLLクロックの次の立ち上がりエッジは、分周されたクロックのゼロへの最終的な遷移をトリガする。
テストモードの間、分周回路が配置されているので、テストに関るリセット信号のみがアクティブになる。全ての機能モードの信号は、ゲートオフするか、又はディセーブルにする必要がある。
非テストモードでは、テストに関るリセット信号は、ディセーブルにする必要がある。これは、 専用のTCB信号で行うことができる。他方では、テストに関るリセット信号は、機能モードの間、完全にディセーブルにする必要がある。クロックシステムが機能モードで適切に始動できることを確実にしなければならない。
内部的に分周したクロック信号のゲート動作を提供するクロック制御ブロックについては、詳細に説明していないが、これらは、当業者に明らかである。
本質的にはクロックをゲートする回路配列は、ANDゲートを備えることができ、その一方の端子は、ゲートすべきクロック信号を受信し、その他方の端子は、例えばDタイプのフリップフロップのD入力におけるロジックなどの入力時のロジックを有するラッチ素子の出力とすることができる制御信号を受信するANDゲートとできる。また、チップレットのレベルでのゲート動作も用いることができ、これは、チップレット又はコアのシェルにて、各クロックツリーの始めに挿入されるゲートとして規定される。中央のゲート(それ以外に、クロック制御ブロックの制御が知られている)は、あらゆる送出クロックの前のトップレベルのクロック発生ユニットにて、クロックツリーの根本で挿入されたゲートとなり、上述の例はCCBの使用を想定している。
ゲート機能の種々の可能な実現例は、当業者には明らかである。上述の実施例では、CCBが、遅延故障テスト用の2クロックサイクルとBIST用の多数のクロックサイクルにより、通常モードでリリースされるクロックの数を制御するものである。ATPGは、捕捉イベントの送出の発生も制御することができる。
上述したローからハイへ、及びハイからローへの遷移の使用は、当然に逆にすることもできる。
他の様々な変更は、当業者には明らかである。
本発明の方法の第1の実施例のタイミングを示す図である。 図1のタイミングのやり方の利点を説明するのに用いる図である。 本発明の方法の第2の実施例のタイミングを示す図である。 本発明の異なる方法を実現するためのタイミングのハードウェアを示す図である。 図4の回路のより詳細を示す図である。 図5の場合の回路の動作の異なるモードを示す図である。 図5の回路を用いてテストモードの始まりにおけるタイミングを説明するのに用いる図である。 図5の回路を用いてテストモードの終わりにおけるタイミングを説明するのに用いる図である。

Claims (19)

  1. 異なる周波数で別々に関連付けられた第1及び第2のクロック信号を有する少なくとも2つのコアを含む多数のコアを備える集積回路をテストする方法であって、
    第1のスキャンモードの間、テスト周波数でクロックされたクロックドスキャンチェーンを用いてテスト信号を回路に供給するステップと、
    第1のスキャンモードを終了するステップと、
    次に、クロック回路用リセット信号に遷移を与えるステップと、
    前記クロック回路用リセット信号における遷移を用いて、集積回路の内部クロックから第1及び第2のクロック信号を取り出すクロック分周回路の動作を、第1及び第2のクロック信号がほぼ同時に始動するようにトリガするステップと、
    テストモードの間、第1及び第2のクロックから取り出したタイミングでクロックされる少なくとも2つのコアを有する集積回路のテストを行うステップと、
    テストモードを終了し、テスト周波数でクロックされたクロックドスキャンチェーンを用いてテスト信号に対する結果を出力する期間である第2のスキャンモードを開始するステップとを含む集積回路テスト方法。
  2. 前記テストは、遅延故障テストを含む、請求項1に記載の方法。
  3. 前記テストモードの間、前記第1及び第2のクロック信号の2クロックサイクルを供給する、請求項2に記載の方法。
  4. 前記第1及び第2のクロック信号は、クロックパルスを2クロックサイクルのいずれか、又は双方に供給するように制御されている、請求項3に記載の方法。
  5. 前記テストモードは、前記クロック回路用リセット信号における別の遷移で終了する、請求項2〜4のいずれか一項に記載の方法。
  6. 前記テストは、BISTメモリテストを含む、請求項1に記載の方法。
  7. 前記第1及び第2のクロック信号は、前記テストモードの間、連続して供給されている、請求項6に記載の方法。
  8. 前記テストモードは、前記テストモードは、前記クロック回路用リセット信号における別の遷移で終了する、請求項6又は7に記載の方法。
  9. 前記第1のスキャンモードは、スキャンイネーブルラインの第1の遷移によって終了し、且つ前記スキャンイネーブルラインの第2の遷移によって開始し、前記第2の遷移は、前記クロック回路用リセット信号の別の遷移の後である、請求項5又は8に記載の方法。
  10. 前記クロック分周回路の動作は、前記クロック回路用リセット信号の遷移の後に、集積回路の内部クロックの遷移によってトリガされる、請求項1〜9のいずれか一項に記載の方法。
  11. 前記クロック回路用リセット信号における遷移は、前記クロック分周回路に供給すべき分周回路用リセット信号を取り出すのに用いられる前に、前記内部クロックを用いてラッチされる、請求項10に記載の方法。
  12. 前記クロック分周回路の動作は、前記分周回路用リセット信号の遷移の後に、集積回路の内部クロックの次の立ち上がり遷移によってトリガされる、請求項11に記載の方法。
  13. クロック信号を発生させ、且つ集積回路テスト回路の一部を形成する回路であって、
    クロック発生回路と、
    前記クロック発生回路の出力から、異なる周波数の少なくとも第1及び第2のクロック信号を発生させるクロック分周回路と、
    少なくとも本回路の外部入力として供給されるテストクロックと、前記第1及び第2のクロック信号との間で切り換えを行うクロック切換ユニットとを備え、
    前記クロック切換ユニットが、前記クロック分周回路の動作を、前記第1及び第2のクロック信号がほぼ同時に始動するようにトリガするための信号を受信するリセット入力端を有するクロック信号発生回路。
  14. 前記第1及び第2のクロック信号の各々を、各クロック信号をゲートするためのそれぞれのクロック制御ブロックに供給して、選択されたクロックパルスを供給するようにし、ゲートしたクロック信号を前記クロック信号発生回路の出力として供給する、請求項13に記載の回路。
  15. 前記クロック切換ユニットは、前記クロック発生回路の内部クロックを用いて、前記リセット入力端の信号をラッチするラッチ回路配列を備える、請求項13又は14に記載の回路。
  16. 前記クロック切換ユニットは、前記リセット入力端の信号のタイミングに依存して、少なくとも第1及び第2のクロック信号の発生の開始をトリガする分周回路用リセット信号を発生するロジック回路を備える、請求項13〜15のいずれか一項に記載の回路。
  17. 前記クロック切換ユニットは、前記テストクロックと前記少なくとも第1及び第2のクロック信号との間の切り換えを行うマルチプレクサを備え、前記ロジック回路は、前記マルチプレクサ用の制御信号を発生する、請求項16に記載のクロック信号発生回路。
  18. 請求項13〜18のいずれか一項に記載の回路であって、該回路がトランスペアレントモードで動作するアプリケーションモード、前記テストクロックを使用するコアテストモード、前記テストクロックを使用する相互接続モード、及び内部的に発生させたクロック信号を用いる実動作速度テストモードを含む、複数のモードで動作可能とした回路。
  19. 前記実動作速度テストモードは、遅延故障テストモード又はBISTメモリテストを含む、請求項18に記載の回路。
JP2008549090A 2006-01-06 2007-01-04 Icテスト方法及び装置 Withdrawn JP2009522571A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP06100139 2006-01-06
PCT/IB2007/050025 WO2007077542A2 (en) 2006-01-06 2007-01-04 Ic testing methods and apparatus

Publications (1)

Publication Number Publication Date
JP2009522571A true JP2009522571A (ja) 2009-06-11

Family

ID=38228595

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008549090A Withdrawn JP2009522571A (ja) 2006-01-06 2007-01-04 Icテスト方法及び装置

Country Status (8)

Country Link
US (1) US8327205B2 (ja)
EP (1) EP1982205B1 (ja)
JP (1) JP2009522571A (ja)
CN (1) CN101371153B (ja)
AT (1) ATE492818T1 (ja)
DE (1) DE602007011397D1 (ja)
TW (1) TW200801550A (ja)
WO (1) WO2007077542A2 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5029161B2 (ja) * 2007-06-15 2012-09-19 株式会社デンソー 半導体集積装置
JP5134089B2 (ja) * 2008-09-17 2013-01-30 株式会社アドバンテスト 試験装置およびドメイン間同期方法
TW201140308A (en) * 2010-03-15 2011-11-16 Kyushu Inst Technology Semiconductor device, detection method, and program
US8788895B2 (en) * 2010-04-08 2014-07-22 Stmicroelectronics S.R.L. Testing system for integrated circuits including components for receiving clock signals corresponding to different clock domains
US8578226B2 (en) * 2010-08-17 2013-11-05 Eigenix Apparatus and system for implementing variable speed scan testing
US9021323B1 (en) * 2011-03-11 2015-04-28 Altera Corporation Test techniques and circuitry
US8856602B2 (en) * 2011-12-20 2014-10-07 International Business Machines Corporation Multi-core processor with internal voting-based built in self test (BIST)
CN102928766B (zh) * 2012-10-26 2015-01-21 福州瑞芯微电子有限公司 一种在芯片高速测试中配置参数的方法
US9052075B2 (en) * 2013-03-15 2015-06-09 Cree, Inc. Standardized troffer fixture
US9086457B2 (en) * 2013-03-26 2015-07-21 International Business Machines Corporation Scan chain latch design that improves testability of integrated circuits
US9377511B2 (en) * 2013-11-19 2016-06-28 Infineon Technologies Ag Coverage enhancement and power aware clock system for structural delay-fault test
US9234938B2 (en) * 2014-05-06 2016-01-12 Stmicroelectronics International N.V. Monitoring on-chip clock control during integrated circuit testing
US9515686B2 (en) 2014-08-11 2016-12-06 Samsung Electronics Co., Ltd. Signal transmitting circuit using common clock, and storage device therewith
US9666301B2 (en) 2014-09-16 2017-05-30 Qualcomm Incorporated Scannable memories with robust clocking methodology to prevent inadvertent reads or writes
TWI629493B (zh) * 2014-10-29 2018-07-11 南韓商因諾帝歐股份有限公司 積體電路晶片測試裝置,方法及系統
JP6498031B2 (ja) * 2015-05-18 2019-04-10 セイコーインスツル株式会社 分周回路、分周回路の制御方法およびアナログ電子時計
TWI603104B (zh) * 2015-09-14 2017-10-21 Integrated circuit with scan test and test method
US9892024B2 (en) * 2015-11-02 2018-02-13 Sony Interactive Entertainment America Llc Backward compatibility testing of software in a mode that disrupts timing
KR102468792B1 (ko) * 2015-11-13 2022-11-18 삼성전자주식회사 인터페이스 보드, 그를 포함하는 mcp 테스트 시스템 및 이를 이용한 mcp 테스트 방법
KR102355437B1 (ko) * 2017-05-11 2022-01-26 에스케이하이닉스 주식회사 클럭 생성 회로를 포함하는 반도체 장치 및 반도체 시스템
US11087857B2 (en) * 2017-11-15 2021-08-10 Texas Instruments Incorporated Enabling high at-speed test coverage of functional memory interface logic by selective usage of test paths
US10459029B2 (en) 2018-01-08 2019-10-29 Seagate Technology Llc On-chip clock control monitoring
US10628275B2 (en) * 2018-03-07 2020-04-21 Nxp B.V. Runtime software-based self-test with mutual inter-core checking
CN109828551A (zh) * 2019-02-18 2019-05-31 深圳高新兴物联科技有限公司 自动化测试方法、装置以及计算机可读存储介质
CN109839586A (zh) * 2019-03-11 2019-06-04 世芯电子科技(无锡)有限公司 一种soc芯片ip时钟在dft中的处理技术
US11353496B2 (en) * 2019-05-08 2022-06-07 Hamilton Sundstrand Corporation Frequency-based built-in-test for discrete outputs
CN112924850A (zh) * 2021-01-27 2021-06-08 胜达克半导体科技(上海)有限公司 一种应用于自动测试机soc芯片并行测试切换方法
CN113190394B (zh) * 2021-07-02 2021-09-28 南京宏泰半导体科技有限公司 一种面向soc芯片的多时钟域并发测试系统及其测试方法
US20230384378A1 (en) * 2022-05-31 2023-11-30 Renesas Electronics Corporation Semiconductor device and scan testing method
CN117890753A (zh) * 2022-10-08 2024-04-16 深圳市中兴微电子技术有限公司 芯片测试方法、寄存器、电子设备和存储介质

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW307927B (ja) * 1994-08-29 1997-06-11 Matsushita Electric Ind Co Ltd
US7590910B2 (en) * 1998-03-27 2009-09-15 Texas Instruments Incorporated Tap and linking module for scan access of multiple cores with IEEE 1149.1 test access ports
US6418545B1 (en) * 1999-06-04 2002-07-09 Koninklijke Philips Electronics N.V. System and method to reduce scan test pins on an integrated circuit
GB0119300D0 (en) * 2001-08-08 2001-10-03 Koninkl Philips Electronics Nv Delay fault test circuitry and related method
US7444567B2 (en) * 2002-04-09 2008-10-28 Syntest Technologies, Inc. Method and apparatus for unifying self-test with scan-test during prototype debug and production test
US6671839B1 (en) * 2002-06-27 2003-12-30 Logicvision, Inc. Scan test method for providing real time identification of failing test patterns and test bist controller for use therewith
US7103814B2 (en) * 2002-10-25 2006-09-05 International Business Machines Corporation Testing logic and embedded memory in parallel
US7373568B1 (en) * 2003-01-21 2008-05-13 Marvell Israel Ltd. Scan insertion
US7155651B2 (en) * 2004-04-22 2006-12-26 Logicvision, Inc. Clock controller for at-speed testing of scan circuits
US7380189B2 (en) * 2004-06-15 2008-05-27 Broadcom Corporation Circuit for PLL-based at-speed scan testing
US7721170B2 (en) * 2007-10-19 2010-05-18 International Business Machines Corporation Apparatus and method for selectively implementing launch off scan capability in at speed testing

Also Published As

Publication number Publication date
WO2007077542A2 (en) 2007-07-12
CN101371153A (zh) 2009-02-18
DE602007011397D1 (de) 2011-02-03
WO2007077542A3 (en) 2007-10-18
EP1982205B1 (en) 2010-12-22
CN101371153B (zh) 2011-09-28
TW200801550A (en) 2008-01-01
ATE492818T1 (de) 2011-01-15
EP1982205A2 (en) 2008-10-22
US20090003424A1 (en) 2009-01-01
US8327205B2 (en) 2012-12-04

Similar Documents

Publication Publication Date Title
US8327205B2 (en) IC testing methods and apparatus
US6760873B1 (en) Built-in self test for speed and timing margin for a source synchronous IO interface
JP4091957B2 (ja) 複数のクロック発生回路を含むテスト可能な集積回路
US9128154B2 (en) Apparatus for at-speed testing, in inter-domain mode, of a multi-clock-domain digital integrated circuit according to BIST or SCAN techniques
US7134061B2 (en) At-speed ATPG testing and apparatus for SoC designs having multiple clock domain using a VLCT test platform
US6345373B1 (en) System and method for testing high speed VLSI devices using slower testers
US7908536B2 (en) Testing functional boundary logic at asynchronous clock boundaries of an integrated circuit device
US9797948B2 (en) Scan-based MCM interconnect testing
US7500164B2 (en) Method for testing an integrated circuit device having elements with asynchronous clocks or dissimilar design methodologies
JP2004530865A (ja) 自己試験中または走査試験中にクロックドメインにまたがる故障を検出するか突き止める複数キャプチャdftシステム
CA2157960A1 (en) Delay testing of high-performance digital components by a slow-speed tester
WO2006132329A1 (ja) マイクロコンピュータ及びそのテスト方法
JP2004233084A (ja) 半導体集積回路およびスキャンテスト法
US20020174393A1 (en) Method and apparatus for fault tolerant and flexible test signature generator
US7380189B2 (en) Circuit for PLL-based at-speed scan testing
JP4846128B2 (ja) 半導体装置およびそのテスト方法
JP4356942B2 (ja) 集積回路及びそのテスト方法
US6976199B2 (en) AC LSSD/LBIST test coverage enhancement
KR100694315B1 (ko) 다중 시스템 클럭 및 이종 코어를 포함하는 시스템 온 칩용연결선 지연 고장 테스트 제어기
US7685542B2 (en) Method and apparatus for shutting off data capture across asynchronous clock domains during at-speed testing
Cheon et al. At-speed logic BIST for IP cores
WO2017000274A1 (en) Devices and methods for multi-clock-domain testing
US7219270B1 (en) Device and method for using a lessened load to measure signal skew at the output of an integrated circuit
Stang et al. An implementation of IEEE 1149.1 to avoid timing violations and other practical in-compliance improvements
Jianhua et al. Design of mixed-signal circuit for testability

Legal Events

Date Code Title Description
A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20110728