JP2009503847A - 自己整合トレンチ分離を用いた電界低減dmos - Google Patents

自己整合トレンチ分離を用いた電界低減dmos Download PDF

Info

Publication number
JP2009503847A
JP2009503847A JP2008523905A JP2008523905A JP2009503847A JP 2009503847 A JP2009503847 A JP 2009503847A JP 2008523905 A JP2008523905 A JP 2008523905A JP 2008523905 A JP2008523905 A JP 2008523905A JP 2009503847 A JP2009503847 A JP 2009503847A
Authority
JP
Japan
Prior art keywords
dielectric layer
layer
dielectric
forming
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008523905A
Other languages
English (en)
Inventor
ミラー,ゲイル・ダブリュ,ジュニア
デュデク,フォルカー
グラフ,ミハエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Atmel Corp
Original Assignee
Atmel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Atmel Corp filed Critical Atmel Corp
Publication of JP2009503847A publication Critical patent/JP2009503847A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66689Lateral DMOS transistors, i.e. LDMOS transistors with a step of forming an insulating sidewall spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7824Lateral DMOS transistors, i.e. LDMOS transistors with a substrate comprising an insulating layer, e.g. SOI-LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

電子デバイスの製造方法および結果として生じる電子デバイスを提供する。方法は、シリコン・オン・インシュレータ基板(201、203、205)の最上の側にゲート酸化物(217)を形成するステップと、ゲート酸化物(217)の上に第1のポリシリコン層(219)を形成するステップと、第1のポリシリコン層(219)の上に第1の二酸化シリコン層(221)を形成するステップとを備える。そして第1の二酸化シリコン層(221)の上に第1の窒化シリコン層(223)を形成し、続いて第2の二酸化シリコン層を形成する。先の誘電体層のすべてを貫通してSOI基板(201、203、205)内へ浅いトレンチをエッチングする。エッチングされたトレンチを他の誘電体層(たとえば二酸化シリコン)(239)で充填し、平坦化する。先の誘電体層の各々を除去し、誘電体層の最上側壁区域を、後で適用されるポリシリコンゲート区域との接触のために露出させておく。側壁区域の形成によりフィールド酸化物の全厚みが保証され、それによって、電界が低減され、かつゲート領域とドリフト領域との間のキャパシタンスが低減されたデバイスが生成される。

Description

発明は電子半導体装置および製造方法に関し、特に、自己整合トレンチ分離技術を用いることによって電界および他の悪影響を低減するための半導体装置およびその製造方法に関する。
エレクトロニクス業界は、よりコンパクトな面積でより高機能のデバイスを実現するために半導体技術の進歩に依拠し続けている。多くの応用例にとって、より高機能のデバイスを実現するには多数の電子デバイスを単一のシリコンダイに集積する必要がある。シリコンウェハの所与の面積当たりの電子デバイスの数が増えるにつれ、採用される製造プロセスはより困難になる。
半導体業界において継続中の研究の重要な主題は、集積回路で用いられるデバイスの寸法の低減である。金属酸化物半導体(MOS)トランジスタなどのプレーナトランジスタは、高密度集積回路で用いるのに特に適している。MOSトランジスタおよび他のアクティブデバイスのサイズが縮小するにつれ、各デバイスのソース/ドレイン/ゲート電極の、およびチャネル領域の寸法も同じ分だけ縮小する必要がある。
MOSトランジスタを製造する際、ソースおよびドレイン電極を典型的に濃くドープしてデバイスの寄生抵抗を低減する。ドーピングによってコンダクタンスが改良されるが、同時に寄生キャパシタンスが増加し、破壊電圧が低下する。多くの先行技術のデバイスは、薄くドープされたドレイン(LDD)領域をチャネル領域の両側、つまりチャネル領域とソース/ドレイン電極との間に介在させる。LDD領域により、MOSデバイスが適切な破壊電圧を生じさせることができる。しかしLDD領域は、トランジスタがオンになるとソースとドレインとの間の抵抗も増加させる。この増加した寄生抵抗のためにトランジスタの切換速度および導電能力が劣化する。またLDD領域が必要になると製造にプロセス工程も追加され、コストおよび信頼性の両方に悪影響を及ぼす。
高速信号のゲーティングおよび増幅を制御するのに好適なMOSトランジスタは、低い寄生キャパシタンス、低い寄生抵抗、および搬送される信号よりも大きい破壊電圧を有する必要がある。これらの性能パラメータは、MOSトランジスタ製造の当業者に周知である設計トレードオフを表わす。
大抵の先行技術のMOSトランジスタは、その上にあるゲート電極と実質的に同じサイズのチャネル領域を有する。チャネル領域のサイズおよび形状は、ゲート電極の堆積後に、ソース/ドレイン電極およびLDD領域を形成するためにゲート電極の下のシリコンにドーパントを注入した直接的な結果である。そのようなプロセスで形成される幅広のチャネル領域は、トランジスタの性能に望ましくない特性を与えてしまう。ドレイン電流はチャネルの長さに反比例することが一般に認められている。
DMOS(二重拡散金属酸化物半導体)トランジスタは、拡散を用いてトランジスタ領域を形成するMOSFET(金属オン半導体電界効果トランジスタ)の一種として周知であり、典型的な応用例はパワートランジスタである。そのようなデバイスは自動車の電気系統、電源、および電力管理応用例などの応用例において広く使用されている。
DMOSトランジスタでは、チャネル長は、N+ソース領域ドーパント(典型的に砒素またはリン)と比較してより高いPボディ領域ドーパント(典型的にホウ素)の拡散率に
よって決定される。ボディ領域によって規定されるようなチャネルは、薄くドープされたドリフト領域の上にある。DMOSトランジスタは非常に短いチャネルを有することができ、チャネル長を決定するのに典型的にフォトリソグラフィに依存しない。そのようなDMOSトランジスタは、濃くドープされたPボディシールドのため良好なパンチスルー制御を有する。薄くドープされたドリフト領域は一様電界を維持することによってチャネル領域両端の電圧降下を最小限に抑え、速度飽和を達成する。ドレイン領域近傍の電界はドリフト領域内と同一なので、アバランシェ破壊、増倍、および酸化物チャージングが従来のMOSFETと比較して低減される。
1つの種類のDMOSトランジスタでは、トレンチを用いてゲート構造を形成する。これらのトランジスタは典型的に、<100>方向に向いたシリコン基板(ウェハ)上に形成され、異方性エッチングを用いてトレンチを形成する。<100>シリコン内へエッチングされると、トレンチは54.7度の側壁勾配を有する。ドーピング分布は上述のDMOSトランジスタと同一である。2つのチャネルは、エッチングされたトレンチの各側に1つずつ位置する。デバイスは、共通のドレインコンタクトを基板の底部に有する。多くのデバイスを並列に接続可能なため、DMOSトランジスタは高電流および高電力を処理可能であり、したがって先に説明したような電力切換の応用例に好適である。
長年にわたり、パワーMOSFETデバイスの製造のために多くの異なるプロセスが用いられてきた。これらのプロセスは一般的に深い拡散プロセスである。薄い酸化物層と1列に並び、導電性ポリシリコンで充填されてトランジスタゲート構造を形成するトレンチを基板内に有するそのようなトランジスタの形成は周知である。
図1を参照して、1つの先行技術のMOSデバイス100の断面図は、シリコン基板101、nウェル103、閾値インプラント105、ゲート酸化物107、下地酸化物109、浅いトレンチ分離(STI)酸化物111、ゲートポリシリコン領域113、および結果として生じるゲート回り込み領域115を含む。ゲート回り込み領域115は、当該技術分野において周知のように、STI酸化物111の周縁部に「ディボット」を生じる同時MOS処理技術の結果である。しかしゲート回り込み領域115は、MOSデバイスの性能に少なくとも以下の悪影響を及ぼす。すなわち、(1)デバイスのゲート領域とドリフト領域との間の絶縁電圧が低下し、かつ(2)ディボットがゲート領域とドリフト領域との間に高キャパシタンス領域を生成し、それによって高い局所電界を作り出す。したがって必要とされるのは、処理の際にディボットを排除することによってゲート回り込み領域の悪影響を取除きつつMOSデバイスを生成するための経済的な方法である。
発明の要約
この発明は、1つの実施例において、ここで説明される方法を用いて製造される半導体電子デバイスである。半導体電子デバイスはたとえば、浅いトレンチ分離特徴を含むソース、ドレイン、およびゲートを有する電界低減DMOSである。浅いトレンチ分離特徴はトレンチ充填誘電体を有し、トレンチ充填誘電体は、本質的にフィールド酸化物の全厚みを維持する。フィールド酸化物の全厚みは、ポリシリコンゲート層と導通するトレンチ充填誘電体の最上側壁区域を有することによって部分的に形成され、それによって先行技術のゲート回り込み区域が排除される。
この発明は電子デバイスを製造する方法でもある。方法はたとえば、シリコン・オン・インシュレータ基板の最上側にゲート酸化物を形成するステップと、ゲート酸化物の上に第1のポリシリコン層を形成するステップと、第1のポリシリコン層の上に第1の二酸化
シリコン層を形成するステップとを含む。そして、第1の二酸化シリコン層の上に第1の窒化シリコン層を形成する。第1の窒化物層が選択されるのは、後の処理工程において二酸化シリコン層とは異なる速度で窒化物をエッチングするために高い選択率のエッチャントを使用できるからである。そして、第1の窒化物の上に第2の二酸化シリコン層を形成する。先の誘電体層のすべてを貫通してSOI基板内へ浅いトレンチをエッチングする。エッチングされたトレンチを他の誘電体層(たとえば二酸化シリコン)で充填する。誘電体層(すなわちトレンチ充填物)を、窒化物層の最上面と実質的に同一平面になるよう平坦化する。そして先の誘電体層の各々を除去し、誘電体層の最上側壁区域を残す。側壁区域によりフィールド酸化物の全厚みが保証され、それによって、電界が低減され、かつゲート領域とドリフト領域との間のキャパシタンスが低減されたデバイスが生成される。
上述の属性および処理方法により、この発明はとりわけ、注入されたキャリアの軌跡を劣化させることなく、またはキャリアをデバイスのボディ内へ深く押込むことなく、先行技術よりも高いゲート領域とドリフト領域との間の絶縁電圧を達成することが可能である。また、結果として生じるデバイスの構造により、「ゲート回り込み」が排除されてデバイスのゲート領域とドリフト領域との間のキャパシタンスが大幅に低減可能となり、それによって局所電界が低減される。
図2Aを参照して、この発明の例示的なプロセスは始まりにシリコン・オン・インシュレータ(SOI)技術を利用し、基板201、酸化物絶縁層203、およびSOI層205を含む。SOI層205上に遮蔽酸化物209を熱的に成長させるか、または堆積する。パターニングおよびエッチングされたフォトレジスト層211は、イオン注入工程のためのマスクを提供する。特定的な実施例では、ホウ素原子213の濃度により後退pウェル207が形成され、これによりNMOSデバイス用のボディが形成される。当業者であれば、同様のpウェル区域を生成するのに拡散などの他のドーピング技術も容易に採用できることを認識するであろう。
この例示的な実施例では、基板201はシリコンウェハである。代替的に、基板201は他のIV族元素半導体か、または化合物半導体(たとえばIII〜V族またはII〜VI族)であってもよい。基板201は代替的に、フォトマスクブランクなどの非半導体であり得る。
図2Bにおいて、パターニングおよびエッチングされたフォトレジスト層211および遮蔽酸化物209(両者とも図2Bには図示せず)の除去後に、追加的なドーパント区域が追加されている。追加的なドーパント区域は、nウェル215および閾値増大インプラント208を含む。さらに、ゲート酸化物217、第1のポリシリコン層219、第1の酸化物層221、および窒化シリコン層223が、当業者にすべて公知のさまざまな技術によって堆積されている。第1のポリシリコン層219、第1の酸化物層221、および窒化シリコン層223はアクティブスタックを構成する。
特定的な実施例では、ゲート酸化物217を異なる領域においてさまざまな厚みに、一般的に20Åから50Åの厚みに熱的に成長させ、および/またはエッチングする。第1のポリシリコン層219は化学気相成長法(CVD)によって約1200Åの厚みに堆積され、第1の酸化物層221は熱的に成長して厚みは約90Åである。窒化シリコン層223はCVDプロセスによって堆積され、厚みは約1200Åである。
アクティブスタック(すなわち第1のポリシリコン層219、第1の酸化物層221、および窒化シリコン層223)の上に、第2の酸化物層225およびフォトレジスト層224がパターニングおよびエッチングされ、次の浅いトレンチ分離(STI)プロセス(
以下に説明)のためのハードマスクとして作用する。第2の酸化物層225は高密度プラズマ(HDP)−増大CVDで形成されてもよく、平均的な厚みは約2000Åであり、次のSTIプロセスの準備としてドライエッチング(たとえば反応性イオンエッチング)される。
図2Cにおいて、STIプロセスの一部として、アクティブスタックおよびゲート酸化物217を貫通してSOI層205内へ浅いトレンチ227がエッチングされている。フォトレジスト層224(図2Cには図示せず)を次に除去する。浅いトレンチ227の露出した側壁上に下地酸化物235(図2D)を、続いて第3のフォトレジスト層229を堆積または成長させる。そして第3のフォトレジスト層229を(図2Dに示されるように)パターニングおよびエッチングし、第2のイオン注入231を行なって、pフィールドインプラント233を生成する。そして第3のフォトレジスト層229を取除き、第2の下地酸化物237(図2E)を堆積する。特定的な実施例では、第2の下地酸化物237はテトラエチルオルトシラン(TEOS)の熱分解酸化によって約200Åの厚みに成長した二酸化シリコンである。そして第3の酸化物層239を(たとえばHDP−CVDプロセスによって約9000Åに)等角的に堆積し、浅いトレンチ充填物を提供する。第3の酸化物層239を(典型的に二酸化シリコンと窒化シリコンとの間の高い選択率を有するエッチャントで)エッチングして第1のトレンチ241および第2のトレンチ243を生成し、続いてケミカルメカニカルプラナリゼーション(CMP)プロセス工程を実行する。CMPプロセス工程は、窒化シリコン層223の最上部で止まる(図2F)。
図2Gを参照して、第2のトレンチ243をエッチングして、pフィールドインプラント233、pウェル207、およびSOI層205を少なくとも部分的に貫通して延在させる。特定的な実施例では、第2のトレンチ243を酸化物絶縁層203の最上面へ延在させる。そして、延在する第2のトレンチ243の下部の露出したシリコン側壁上に第3の下地酸化物245(図2H)を熱的に成長させる。
図2Iを参照して、等角のTEOS層247を(たとえば約2000Åの厚みに)堆積し、その後ブランケットポリシリコン層249Aを堆積する。ブランケットポリシリコン層249Aは、たとえば5000Åの厚みに堆積される。そしてブランケットポリシリコン層249Aをエッチングし(図2J)、深いトレンチ充填プラグ249Bを残す。次のHDP−CVD酸化物層251Aを約7000Åの厚みに堆積する(図2K)。追加的なCMP工程でウェハを平坦化し、窒化シリコン層223上で平坦化を止める(図2L)。HDP−CVD酸化物層251Aの酸化物の残余251Bは、(今は充填された)第2のトレンチ243内上方にとどまり、深いトレンチポリシリコンプラグ249Bに接触している。
CMPの後、窒化シリコン層223を(たとえば熱リン酸によって)エッチングし、(第2の下地酸化物237および第3の酸化物層239で構成される)浅いトレンチ分離区域の上部を部分的に露出させておく(図2M)。バッファ付酸化物エッチングディップパックにより第1の酸化物層221の残りの部分を除去し、STI角253の最上端縁に丸みを帯びた区域を設ける(図2N)。
図2Oにおいて、第2のポリシリコン層255を(たとえば約2000Åの厚みに)堆積し、パターニングし、かつエッチングする。第2のポリシリコン層255は、MOSデバイスのゲート区域を形成することになる。
図2Pを参照して、MOSデバイスの製造は、n型の薄くドープされたドレイン(NLDD)インプラント265、ソース区域n型ソース−ドレイン(NSD)インプラント267、およびドレイン区域NSDインプラント269を追加することによって進行する。
酸化物絶縁層271を第2のポリシリコン層255に追加し、窒化物側壁スペーサ257をポリシリコン層255の周縁部に追加し、厚い誘電体273を堆積する。コンタクトビア259、261、263を、ドレイン、ゲート、およびソースコンタクト用にそれぞれ規定する。ビア259、261、263の各々を次にタングステンで充填してコンタクトを完成する。これらの最終製造プロセスのすべては当業者に公知である。
図3を参照して、図2PのMOSデバイスの一部300は、MOSデバイスのゲート領域とドリフト領域との間のキャパシタンスが先行技術のMOSデバイス100(図1)の同様の領域と比較して大幅に減少した第1の区域301を示す。さらに、この発明の製造技術を利用することによって先行技術のMOSデバイス100のゲート回り込み115が排除されている。また、図3の第2の区域303は、フィールド酸化物の全厚みが維持されていることを示す。フィールドの全厚みは、この発明のMOSデバイスの性能特性を最適化する助けとなる。
上記の明細書では、特定的な実施例を参照してこの発明を説明してきた。たとえば、さまざまなドーピングプロセスを注入の観点から説明する。当業者であれば、拡散などの他のドーピングプロセスを注入プロセスの代わりに用いることができることを認識するであろう。また、さまざまな層が、たとえば二酸化シリコンなどの所与の材料で構成されているとして規定され得る。当業者であれば、他の誘電体材料をしばしば代用できることを認識するであろう。たとえば、隣接する誘電体層の各々が異なるエッチング速度を有する限り、二酸化シリコン層は窒化シリコン層と相互交換可能である(たとえば、高い選択性ウェットエッチングプロセスは、窒化シリコンよりも急速に二酸化シリコンをエッチングするであろうし、逆もまた同様である)。また、特性がすべて互いにある程度同様(たとえば絶縁破壊または誘電率)であっても、さまざまな種類の二酸化シリコンを用いてもよい。したがって、熱成長、化学気相成長法、またはTEOS技術によって形成される二酸化シリコン層はこの発明の適用例に対して同様であると考えられ得る。したがって、添付の特許請求の範囲に記載されるようなこの発明の広範な思想および範囲から逸脱することなく、さまざまな修正および変更が本発明になされ得ることが明白であろう。したがって、明細書および図面は限定的ではなく例示的に見なされるべきである。
先行技術のMOSデバイスのゲート領域の断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 この発明の例示的な製造技術を用いて製造される電界低減MOSデバイスの断面図である。 図2PのMOSデバイスのゲート領域の一部を示す図である。

Claims (24)

  1. 電子デバイスを製造する方法であって、
    基板の最上側にゲート酸化物を形成するステップと、
    前記ゲート酸化物の上に第1のポリシリコン層を形成するステップと、
    前記第1のポリシリコン層の上に第1の誘電体層を形成するステップとを備え、前記第1の誘電体層は第1の誘電型であり、前記方法はさらに
    前記第1の誘電体層の上に第2の誘電体層を形成するステップを備え、前記第2の誘電体層は、前記第1の誘電体層とは異なる速度で選択的にエッチングされ得る材料で構成され、前記方法はさらに
    前記第2の誘電体層の上に第3の誘電体層を形成するステップを備え、前記第3の誘電体層は前記第1の誘電体層と同一または同様の誘電体であり、前記方法はさらに
    前記ゲート酸化物層、前記ポリシリコン層、前記誘電体層の各々を貫通して前記基板内へ浅いトレンチをエッチングするステップと、
    エッチングされた前記トレンチを第4の誘電体層で充填するステップと、
    前記第4の誘電体層を、前記第3の誘電体層の最上面と実質的に同一平面になるよう形成するステップと、
    前記第1の誘電体層を除去するステップと、
    前記第2の誘電体層を除去するステップと、
    前記第3の誘電体層を除去するステップとを備え、前記第1、第2、および第3の誘電体層の除去により前記第4の誘電体層の最上側壁区域が露出し、前記方法はさらに
    第2のポリシリコン層を形成するステップを備える、方法。
  2. 前記基板は半導体材料で構成される、請求項1に記載の方法。
  3. 前記基板はシリコン・オン・インシュレータである、請求項1に記載の方法。
  4. 前記トレンチを前記第4の誘電体層で充填する前に、前記浅いトレンチの底部および側壁上に下地誘電体層を形成するステップと、
    前記第4の誘電体を形成した後にケミカルメカニカルプラナリゼーション工程を実行して平坦化を行なうステップと、
    前記第1の誘電体層を除去した後にTEOS層を堆積するステップと、
    前記TEOS層を、前記第4の誘電体層の最上部の頂部まで平坦化するステップとをさらに備える、請求項1に記載の方法。
  5. 前記第4の誘電体層は、ゲート回り込みが形成されないように形成される、請求項1に記載の方法。
  6. 前記第1の誘電体層は二酸化シリコンで構成される、請求項1に記載の方法。
  7. 前記第2の誘電体層は窒化シリコンで構成される、請求項1に記載の方法。
  8. 前記第3の誘電体層は二酸化シリコンで構成される、請求項1に記載の方法。
  9. 前記第4の誘電体層は二酸化シリコンで構成される、請求項1に記載の方法。
  10. 前記エッチングするステップは、前記第3の誘電体層と前記第2の誘電体層との間の高い選択率を有するエッチャントを用いて実行される、請求項1に記載の方法。
  11. 電子デバイスを製造する方法であって、
    基板の最上側にゲート酸化物を形成するステップと、
    前記ゲート酸化物の上に第1のポリシリコン層を形成するステップと、
    前記第1のポリシリコン層の上に第1の誘電体層を形成するステップとを備え、前記第1の誘電体層は第1の誘電型であり、前記方法はさらに
    前記第1の誘電体層の上に第2の誘電体層を形成するステップを備え、前記第2の誘電体層は、前記第1の誘電体層とは異なる速度で選択的にエッチングされ得る材料で構成され、前記方法はさらに
    前記第2の誘電体層の上に第3の誘電体層を形成するステップを備え、前記第3の誘電体層は前記第1の誘電体層と同一または同様の誘電体であり、前記方法はさらに
    前記ゲート酸化物層、前記ポリシリコン層、前記誘電体層の各々を貫通して前記基板内へ浅いトレンチをエッチングするステップと、
    エッチングされた前記トレンチを第4の誘電体層で充填するステップと、
    前記第4の誘電体層を、前記第3の誘電体層の最上面と実質的に同一平面になるよう形成するステップと、
    前記第1の誘電体層を除去するステップと、
    前記第2の誘電体層を除去するステップと、
    前記第3の誘電体層を除去するステップとを備え、前記第1、第2、および第3の誘電体層の除去により前記第4の誘電体層の最上側壁区域が露出し、前記方法はさらに
    前記第4の誘電体層の上に第2のポリシリコン層を堆積するステップを備え、前記第2のポリシリコン層は、前記第4の誘電体層の前記最上側壁区域と導通するように形成され、前記方法はさらに
    前記第2のポリシリコン層からゲート区域を形成するステップを備える、方法。
  12. 前記基板は半導体材料で構成される、請求項11に記載の方法。
  13. 前記基板はシリコン・オン・インシュレータである、請求項11に記載の方法。
  14. 前記トレンチを前記第4の誘電体層で充填する前に、前記浅いトレンチの底部および側壁上に下地誘電体層を形成するステップと、
    前記第4の誘電体を形成した後にケミカルメカニカルプラナリゼーション工程を実行して平坦化を行なうステップと、
    前記第1の誘電体層を除去した後にTEOS層を堆積するステップと、
    前記TEOS層を、前記第4の誘電体層の最上部の頂部まで平坦化するステップとをさらに備える、請求項11に記載の方法。
  15. 前記第4の誘電体層は、ゲート回り込みが形成されないように形成される、請求項11に記載の方法。
  16. 前記第4の誘電体層は、ゲート回り込みが形成されないように形成される、請求項11に記載の方法。
  17. 前記第1の誘電体層は二酸化シリコンで構成される、請求項11に記載の方法。
  18. 前記第2の誘電体層は窒化シリコンで構成される、請求項11に記載の方法。
  19. 前記第3の誘電体層は二酸化シリコンで構成される、請求項11に記載の方法。
  20. 前記第4の誘電体層は二酸化シリコンで構成される、請求項11に記載の方法。
  21. 前記エッチングするステップは、前記第3の誘電体層と前記第2の誘電体層との間の高
    い選択率を有するエッチャントを用いて実行される、請求項11に記載の方法。
  22. 電子デバイスであって、
    トランジスタを備え、前記トランジスタはゲート区域、ドレイン区域、およびソース区域を有し、前記デバイスはさらに
    浅いトレンチ分離特徴を備え、前記浅いトレンチ分離特徴はトレンチ充填誘電体で構成され、前記トレンチ充填誘電体はフィールド酸化物の全厚みを備える、電子デバイス。
  23. 前記デバイスにはゲート回り込みがない、請求項22に記載の電子デバイス。
  24. MOS半導体電子デバイスであって、
    ソース、ドレイン、およびゲートを有する1チャネル型のMOSトランジスタと、
    浅いトレンチ分離特徴とを備え、前記浅いトレンチ分離特徴はトレンチ充填誘電体で構成され、前記トレンチ充填誘電体はフィールド酸化物の全厚みを有し、前記フィールド酸化物の全厚みは、ポリシリコンゲート層と導通する前記トレンチ充填誘電体の最上側壁区域を有することによって部分的に形成され、
    前記デバイスはゲート回り込み区域がないことを特徴とする、MOS半導体電子デバイス。
JP2008523905A 2005-07-25 2006-07-10 自己整合トレンチ分離を用いた電界低減dmos Withdrawn JP2009503847A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/188,921 US7348256B2 (en) 2005-07-25 2005-07-25 Methods of forming reduced electric field DMOS using self-aligned trench isolation
PCT/US2006/026765 WO2007018896A2 (en) 2005-07-25 2006-07-10 Reduced electric field dmos using self-aligned trench isolation

Publications (1)

Publication Number Publication Date
JP2009503847A true JP2009503847A (ja) 2009-01-29

Family

ID=37678302

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008523905A Withdrawn JP2009503847A (ja) 2005-07-25 2006-07-10 自己整合トレンチ分離を用いた電界低減dmos

Country Status (7)

Country Link
US (3) US7348256B2 (ja)
EP (1) EP1911095A2 (ja)
JP (1) JP2009503847A (ja)
KR (1) KR20080032232A (ja)
CN (1) CN101292340A (ja)
TW (1) TW200709334A (ja)
WO (1) WO2007018896A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011124499A (ja) * 2009-12-14 2011-06-23 Asahi Kasei Electronics Co Ltd 半導体装置の製造方法
JP2012099541A (ja) * 2010-10-29 2012-05-24 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
JP2013069777A (ja) * 2011-09-21 2013-04-18 Lapis Semiconductor Co Ltd 半導体装置及びその製造方法
JP2017183402A (ja) * 2016-03-29 2017-10-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7348256B2 (en) * 2005-07-25 2008-03-25 Atmel Corporation Methods of forming reduced electric field DMOS using self-aligned trench isolation
US7855414B2 (en) * 2006-07-28 2010-12-21 Broadcom Corporation Semiconductor device with increased breakdown voltage
US20080246080A1 (en) * 2006-07-28 2008-10-09 Broadcom Corporation Shallow trench isolation (STI) based laterally diffused metal oxide semiconductor (LDMOS)
US7781292B2 (en) * 2007-04-30 2010-08-24 International Business Machines Corporation High power device isolation and integration
US8174071B2 (en) * 2008-05-02 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. High voltage LDMOS transistor
US8163621B2 (en) * 2008-06-06 2012-04-24 Globalfoundries Singapore Pte. Ltd. High performance LDMOS device having enhanced dielectric strain layer
US8008719B2 (en) * 2008-10-09 2011-08-30 Hvvi Semiconductors, Inc. Transistor structure having dual shield layers
TWI387105B (zh) * 2008-11-10 2013-02-21 Anpec Electronics Corp 降低切換轉換器中電壓耦合效應之功率元件
US8008748B2 (en) * 2008-12-23 2011-08-30 International Business Machines Corporation Deep trench varactors
CN101819929B (zh) * 2009-02-27 2012-03-21 中芯国际集成电路制造(上海)有限公司 制造分离栅极存储器浮栅的方法
US8680617B2 (en) * 2009-10-06 2014-03-25 International Business Machines Corporation Split level shallow trench isolation for area efficient body contacts in SOI MOSFETS
US8283722B2 (en) 2010-06-14 2012-10-09 Broadcom Corporation Semiconductor device having an enhanced well region
US9123807B2 (en) 2010-12-28 2015-09-01 Broadcom Corporation Reduction of parasitic capacitance in a semiconductor device
KR101867953B1 (ko) * 2011-12-22 2018-06-18 삼성전자주식회사 반도체 소자 및 반도체 소자의 형성 방법
JP2013131512A (ja) * 2011-12-20 2013-07-04 Sumitomo Electric Ind Ltd 半導体装置およびその製造方法
JP2013145770A (ja) 2012-01-13 2013-07-25 Sumitomo Electric Ind Ltd 半導体装置およびその製造方法
US8853022B2 (en) 2012-01-17 2014-10-07 Globalfoundries Singapore Pte. Ltd. High voltage device
US8822291B2 (en) * 2012-01-17 2014-09-02 Globalfoundries Singapore Pte. Ltd. High voltage device
CN103377980B (zh) * 2012-04-17 2015-11-25 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构及其形成方法
KR101968197B1 (ko) * 2012-05-18 2019-04-12 삼성전자주식회사 이미지 센서 및 이의 형성 방법
CN103050521B (zh) * 2012-05-23 2015-02-04 上海华虹宏力半导体制造有限公司 锗硅hbt器件的集电区引出结构及其制造方法
US8896060B2 (en) 2012-06-01 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Trench power MOSFET
US9041105B2 (en) * 2012-07-20 2015-05-26 International Business Machines Corporation Integrated circuit including transistor structure on depleted silicon-on-insulator, related method and design structure
KR102014437B1 (ko) * 2013-10-17 2019-10-21 에스케이하이닉스 주식회사 다원화된 측벽 산화막 구조를 갖는 반도체 장치 및 그 제조 방법
US9196728B2 (en) * 2013-12-31 2015-11-24 Texas Instruments Incorporated LDMOS CHC reliability
US9306055B2 (en) 2014-01-16 2016-04-05 Microchip Technology Incorporated High voltage double-diffused MOS (DMOS) device and method of manufacture
CN103839868A (zh) * 2014-02-21 2014-06-04 上海华力微电子有限公司 浅沟槽隔离结构的制作方法
US9324632B2 (en) 2014-05-28 2016-04-26 Globalfoundries Inc. Semiconductor structures with isolated ohmic trenches and stand-alone isolation trenches and related method
CN105448725B (zh) * 2014-08-26 2018-11-16 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102248307B1 (ko) * 2014-09-01 2021-05-04 에스케이하이닉스 시스템아이씨 주식회사 전력용 집적소자 및 이를 포함하는 전자장치와 전자시스템
US9685364B2 (en) * 2014-09-05 2017-06-20 Globalfoundries Singapore Pte. Ltd. Silicon-on-insulator integrated circuit devices with body contact structures and methods for fabricating the same
EP3353809A1 (en) 2015-09-25 2018-08-01 Intel Corporation High-voltage transistor with self-aligned isolation
US11894381B2 (en) * 2018-10-30 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for trench isolation
US11121224B2 (en) * 2019-02-08 2021-09-14 Texas Instruments Incorporated Transistor with field plate over tapered trench isolation
US11171206B2 (en) * 2019-07-11 2021-11-09 Micron Technology, Inc. Channel conduction in semiconductor devices
TWI797941B (zh) * 2022-01-03 2023-04-01 力晶積成電子製造股份有限公司 半導體裝置的製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5481129A (en) * 1991-10-30 1996-01-02 Harris Corporation Analog-to-digital converter
US6461915B1 (en) * 1999-09-01 2002-10-08 Micron Technology, Inc. Method and structure for an improved floating gate memory cell
JP4346228B2 (ja) * 2000-09-21 2009-10-21 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2003007869A (ja) * 2001-06-26 2003-01-10 Fujitsu Ltd 半導体装置及びその製造方法
US7291884B2 (en) * 2001-07-03 2007-11-06 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide
US6900101B2 (en) * 2003-06-13 2005-05-31 Texas Instruments Incorporated LDMOS transistors and methods for making the same
JP4276510B2 (ja) * 2003-10-02 2009-06-10 株式会社東芝 半導体記憶装置とその製造方法
KR100539449B1 (ko) * 2004-07-12 2005-12-27 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US7202125B2 (en) * 2004-12-22 2007-04-10 Sandisk Corporation Low-voltage, multiple thin-gate oxide and low-resistance gate electrode
US20060220120A1 (en) * 2005-03-31 2006-10-05 Impinj, Inc. High voltage LDMOS device with counter doping
US7348256B2 (en) * 2005-07-25 2008-03-25 Atmel Corporation Methods of forming reduced electric field DMOS using self-aligned trench isolation

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011124499A (ja) * 2009-12-14 2011-06-23 Asahi Kasei Electronics Co Ltd 半導体装置の製造方法
JP2012099541A (ja) * 2010-10-29 2012-05-24 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
JP2013069777A (ja) * 2011-09-21 2013-04-18 Lapis Semiconductor Co Ltd 半導体装置及びその製造方法
JP2017183402A (ja) * 2016-03-29 2017-10-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
WO2007018896A2 (en) 2007-02-15
US7348256B2 (en) 2008-03-25
TW200709334A (en) 2007-03-01
KR20080032232A (ko) 2008-04-14
WO2007018896A3 (en) 2008-06-19
EP1911095A2 (en) 2008-04-16
US20070018273A1 (en) 2007-01-25
CN101292340A (zh) 2008-10-22
US20080173940A1 (en) 2008-07-24
US20080135933A1 (en) 2008-06-12

Similar Documents

Publication Publication Date Title
US7348256B2 (en) Methods of forming reduced electric field DMOS using self-aligned trench isolation
US11424244B2 (en) Integrated circuit having a vertical power MOS transistor
US9548385B1 (en) Self-aligned contacts for vertical field effect transistors
US7288802B2 (en) Virtual body-contacted trigate
US8685847B2 (en) Semiconductor device having localized extremely thin silicon on insulator channel region
US7374988B2 (en) NFET and PFET devices and methods of fabricating same
US7687365B2 (en) CMOS structure for body ties in ultra-thin SOI (UTSOI) substrates
US7670914B2 (en) Methods for fabricating multiple finger transistors
US7247569B2 (en) Ultra-thin Si MOSFET device structure and method of manufacture
KR20010015148A (ko) 소스-드레인 확산에 대해 자기 정렬된, 게이트를 통한 절연체 상 실리콘 상보형 모스 바디 콘택트
US8946819B2 (en) Silicon-on-insulator integrated circuits with local oxidation of silicon and methods for fabricating the same
US7081387B2 (en) Damascene gate multi-mesa MOSFET
KR20030050995A (ko) 고집적 트랜지스터의 제조 방법
US6657261B2 (en) Ground-plane device with back oxide topography
JP2006190823A (ja) 絶縁ゲート電界効果トランジスタ

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20091006