JP2008523516A - 集積回路設計および製造のための確率解析プロセス最適化 - Google Patents

集積回路設計および製造のための確率解析プロセス最適化 Download PDF

Info

Publication number
JP2008523516A
JP2008523516A JP2007545725A JP2007545725A JP2008523516A JP 2008523516 A JP2008523516 A JP 2008523516A JP 2007545725 A JP2007545725 A JP 2007545725A JP 2007545725 A JP2007545725 A JP 2007545725A JP 2008523516 A JP2008523516 A JP 2008523516A
Authority
JP
Japan
Prior art keywords
sap
model
predefined
parameters
distribution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007545725A
Other languages
English (en)
Inventor
チウ,シアン−イェン
ワン,メイリン
リー,ジュン
Original Assignee
アノバ・ソリューションズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アノバ・ソリューションズ・インコーポレーテッド filed Critical アノバ・ソリューションズ・インコーポレーテッド
Publication of JP2008523516A publication Critical patent/JP2008523516A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

確率解析プロセス(「SAP」)を組み込んだ集積回路設計ツールが説明される。SAPは、トランジスタデバイス、論理ゲートデバイス、およびシステムオンチップまたはチップデバイスを含む、回路構成要素の多くのレベルにおいて適用されることができる。SAPは、多数の従来のモンテカルロシミュレーションを、少数のサンプリング点またはコーナを使用する演算で置き換える。SAPは、性能変動予測を対応する統計情報(例えば、平均、3シグマ確率など)とともに生成するために、任意の数の性能メトリックとともに使用され得るモデルを生成するためのモデル適合プロセスを使用する、階層的手法である。SAPは、デバイス寸法、相互接続配線変動、経済的変動、および製造変動などのグローバルパラメータに起因する、回路またはシステム変動をモデル化する効率的な方法を提供する。

Description

本明細書で提供される実施形態は一般に、集積回路を設計および製作することに関し、より詳細には、回路シミュレーション用のモデル化方法に関する。
本出願は、2004年12月10日に出願された米国仮特許出願第60/634872号、および2005年6月22日に出願された米国仮特許出願第60/693373号の恩典を主張する。
製造技術の不断の進歩と、その結果の工程変化は、性能の変動性(遅延/タイミング、電力)がますます顕著になる原因となっている。性能の変動性をモデル化するために、統計的モデルが必須となった。現在のVLSIおよびULSI設計の高い複雑性のため、既存のモデル、アルゴリズム、またはツールは、性能予測の精度と効率を同時に保証することができない。
最大で数100万個のトランジスタを含み得る現行世代の集積回路の設計および生産は、非常に複雑な作業である。デバイス寸法および環境要因(電力、温度)などの多くの変動源が、製造段階において、歩留まりに著しく影響を及ぼし得る。1つまたは複数の可能な変動によってデバイスの製造中にどのような変化が発生し得るかを正確に予測することは、そのような変動を計算に入れて設計を最適化する際に大きな価値がある。設計および/または製造の変動が原因で発生し得る変化を予測する現行の方法は一般に、設計不確定性の統計的分布、ならびにモンテカルロ分析、ラテンハイパーキューブ(Latin Hypercube)、および類似技法などのサンプリングモデルの使用を含む。しかし、これらの方法は一般に、相当な処理オーバーヘッド、時間を必要とする点、および拡張性がない点で不都合である。
実施形態が、例によって説明されるが、添付の図面の図には限定されず、図面において、同じ参照符号は、同様の要素を表す。
確率解析プロセス(「SAP」:Stochastic Analysis Process)が以下で説明される。SAPは、トランジスタデバイス、論理ゲートデバイス(スタンダードセル)、ASICブロック、およびシステムオンチップ(「SoC」)またはチップ設計を含む、超大規模集積(「VLSI」)回路構成要素の多くのレベルに適用されることができる。SAPは一般に、設計の多数の性能メトリック上で現在実行される、多数のモンテカルロシミュレーションに取って代わる。SAPは、この多数のシミュレーションを、少数のサンプリング点またはコーナ(corner)を使用する演算で置き換える。SAPは、性能変動予測を対応する統計情報(例えば、平均、3シグマ確率など)とともに生成するために、任意の数の性能メトリックとともに使用され得るモデルを生成するためのモデル適合プロセス(model fitting process)を使用する階層的手法である。それによって、SAPは、デバイス寸法、相互接続配線変動、環境変動、および製造変動などのグローバルパラメータに起因する、回路またはシステム変動をモデル化する効率的な方法を提供する。
SAPを使用することで、有効な変動解析が、SoC設計に適用されることができる。設計者と製造の両方が、速くて高い歩留まり傾斜(yield ramp)を有する堅牢なチップ設計の生産において役に立つチップ変動予測から、利益を得ることができる。SAPからの結果も、チップ性能歩留まり最適化として後で使用されることができる。
SAP背景
一般に、SAPは、応答曲面法(RSM:response surface method)モデリングの1タイプである。RSMは、入力パラメータと出力応答の間の関係をモデル化し、通常は統計的環境において使用される。RSMに最も適合した形式は、入力パラメータの2次多項式である。RSMにおける最も簡単な出力表現は、
Figure 2008523516
である。
上記の式について、xがstd=1の正規分布である場合、試験(測定)点の選択は、(0,+1,−1)の中にある。言い換えると、試験点は通常、各入力パラメータの平均と1標準偏差にあたる。
RSMを実施することに関する1つの問題は、確率的意味から良好なモデルを生成するために、実際の入力点を選択することである。確率解析手順(SAP:stochastic analysis procedure)は、確率的意味でより良好に近似されたRSMのための測定「選」点(“collocation”measurement point)を提供する、特別な種類のRSMである。
選点(collocation point)の概念は、ニュートン−コーツ積分(Newton−Cotes Integral)などのその他の方法と比べてより良い精度を有する数値積分法である、ガウスの求積積分(Gaussian Quadrature Integral)から導き出される。この積分法では、関数f(x)が、次数が2nより小さい場合、
f(x)=Q(x)*H(x)+R(x)
であり、ここで、Q(x)、R(x)は、次数がnより小さい。Ln(x)は、次数nのエルミート多項式(Hermite polynomial)、
Figure 2008523516
であり、ここで、xは、次数nのエルミート多項式の根である。
このアイデアは、ルジャンドル多項式(Laguerre polynomial)およびラゲール多項式(Laguerre polynomial)を用いて、区間[−1,1]または[0,無限大]に適用されることができる。
Figure 2008523516
SAPは、この積分を応答曲面RSMに拡張する。出力が直交多項式(orthogonal polynomial)g(x)として近似され得る場合、
Figure 2008523516
である。
近似の次数が次数nより小さく、かつ剰余Rがnより小さい場合、近似誤差は、
Figure 2008523516
として定義されることができる。
SAP入力変数変換
SAP適合演算(SAP fitting operation)を実行する前に、入力パラメータは最初に、「独立標準正規」分布にされなければならない。これは、最初に分布を標準正規分布に変換し、次に変数間の相関を独立変数に分解することを含む。
サンプリングのため、これらの標準正規変数は、元の入力パラメータに逆変換されなければならない。分布が正規分布である場合、行われる必要があることは、標準正規に再スケーリングおよび再シフトすることだけである。これは、ガウシアンに近い分布についても行われることができる。その他の任意の与えられた分布については、パラメータを標準一様[0.1]分布に移すほうが、正規分布に移すよりも通常は容易である。標準一様および標準正規からの変換は、以下の式を使用することによって行われることができる。
Figure 2008523516
Figure 2008523516
変換x−>ζ、ζ−>ξが、x−>ξを得るために使用されることができ、反対に、ξ−>ζ、ζ−>xから、ξ−>xを得る。
一般的な分布については、公式または表から取得され得る、CDF(累積分布関数(Cumulated Distribution Function))が必要とされる。変換の背後のアイデアは、cdf(x)がまさに、xから標準一様[0,1]への変換であることである。そのため、必要とされる以下の部分は、それを標準一様から標準正規に変換する。
Figure 2008523516
Figure 2008523516
入力パラメータが相互相関を有する場合、主成分分析(PCA:Principal Component Analysis)が、これらの相関を分解するために使用されることができる。PCAは、固有値(主値)と、相互に線形独立なベクトルとを得るために、固有値分解(Eigen value decomposition)とともに実行される。これらを使用することによって、変換された変数の相関は、0になる。
Figure 2008523516
その場合、変換公式は、
Figure 2008523516
のように書き表されることができる。
SAP適合
近似の目標は、係数cを見出すことからの誤差を最小化することである。平均誤差の場合、以下の式が使用される。
Figure 2008523516
最小2乗誤差の場合、最小を得るために、偏微分(partial derivation)が使用され、その後、ガウスの求積積分が適用される。
Figure 2008523516
このように、近似関数、ならびにSAPの平均および分散を
Figure 2008523516
によって得るために、係数の値が計算されることができる。
上記の解説は、1次元SAPを説明している。多次元SAPを構成するには、多次元加重直交多項式(multi−dimensional weighted orthonormal polynomial)が、最初に構成されなければならない。この多項式を構成するため、1次元多項式が、最初に開発される。
(x),H(x),H(x),H(x)
(y),H(y),H(y),H(y)
(z),H(z),H(z),H(z)
次数0の多項式は、以下のすべて、すなわち、1から構成される。
次いで、次数1の多項式は、以下のすべて、すなわち、
(x),H(y),H(z)
から構成される。
次に、次数2の多項式は、以下のすべて、すなわち、
(x),H(y),H(z),H(x)H(y),H(x)H(z),H(z)H(y)
から構成される。
その次に、次数3の多項式は、以下のすべて、すなわち、
(x),H(y),H(z),H(x)*H(y),H(x)*H(z),H(y)*H(z),H(y)*H(x),H(z)*H(x),H(z)*H(y)
から構成される。
一般に、これらの多項式は、正規直交である。H(x)、P(y)などの加重関数も、混合されることができ、そのことは、以下のすべて、すなわち、1を含む次数0の多項式、以下のすべて、すなわち、H(x),P(y)を含む次数1の多項式、以下のすべて、すなわち、H(x),P(y),H(x)*P(y)を含む次数2の多項式による、多次元多項式の生成を可能にする
同様に、多変数SAPモデルが、直交関数の1次結合として構成されることができる。SAPの次数nの近似のためのp個の入力パラメータは、
Figure 2008523516
である。
同様に、最小誤差は、
最小化平均誤差(Minimized Average Error):
Figure 2008523516
最小化最小2乗誤差(Minimized Least Squared Error):
Figure 2008523516
加重最小化最小2乗誤差(Weighted Minimized Least Squared Error):
Figure 2008523516
によって構成されることができる。
上記の誤差公式は、非常に近いが、以下のように導き出されることができる。
Figure 2008523516
平均誤差は、その場合、
GC=F
⇔[g(ξ)][c]=[f(ξ)]
となる。
最小2乗誤差は、
(GG)C=G
⇔[g(ξ)][g(ξ)][c]=[g(ξ)][f(ξ)]
を意味する(Gの転置で乗算する)。
加重最小2乗誤差は、
(GWG)C=GWF
⇔[g(ξ)][w(ξ)][g(ξ)][c]=[g(ξ)][w(ξ)][f(ξ)]
を意味する(重みで乗算し、その後、Gの転置で乗算する)。
上記の式について、Gが可逆(非特異)である場合、上記の3つの式のすべては同一であり、Gが可逆でない(Gはm×n型、m>n)場合、(加重あり/なしの)最小2乗バージョンが使用されなければならない。
言い換えると、平均誤差の解法が採用される場合、3つの式すべてについて正確に同じ係数が生成される。しかし、実験的データが使用されるケースがある場合、平均誤差公式は適用されるのが難しく、そうしたケースでは、最小2乗法または加重最小2乗誤差法が、使用されることができる。
別の問題は、平均誤差式の場合、G行列は非対称であり、そのことが、逆行列が計算されるときに数値的誤差を引き起こし得ることである。最小2乗誤差式は、より対称的であり、そのため、コレスキー分解(Cholesky Decomposition)技法などの方法が、より正確な結果を取得するために使用されることができる。
サンプルのSAP入力の生成
直交多項式を最も良く適合させるため、サンプリング点は、慎重に選択されるべきである。SAP法は、ガウスの求積法に関係するので、最良のサンプリング点は一般に、次数(n+1)の1次元直交関数について選択された式の根から取得されることができる。
n+1(ξ)=0 i=1〜n+1
最小化平均誤差の場合、多次元ケースに帰着する問題は、既知の式の数が未知の係数よりも大きいことである。p個のパラメータを有するn次のSAP適用の場合、(n+1)の関数評価が存在するが、以下の数の係数だけが解かれるに過ぎない。
Figure 2008523516
例えば、3個のパラメータを有する2次のSAPモデルの場合、10個の係数と27個の式が存在する。ところで、p=1である場合、(n+1)個の係数が必要とされ、(n+1)個の式が存在する。したがって、式と未知数についての不一致が起こり、一方、p>1は、従来のRSMの場合と同じ問題をSAPについても生み出す。この問題を解決することは、(1)すべての組み合わせを使用し、パラメータを最小2乗適合と適合させること、(2)ランダムな順序または最高確率を最初とする順序で、正確な数の点を選択すること、(3)パラメータのより良い適合を得るために、余分の点とともに正確な数の点を選択すること、を含む。
最小2乗法の場合、最小平均誤差法からのものと同じアイデアが適用されることができる。代替として、高い優先順位の点を選択して根を形成するために、「最大の重みを最初とする(largest weight first)」方式が使用されることができる。最小2乗法の公式からの別の観察は、既知関数値の数は未知数の数より小さくなり得ることである。言い換えると、係数のためにより少ない点が選択されることができる。これは、SAPの「適応」バージョンを開発するために使用される。
必要とされる関数評価の数をさらに減らすため、パデ法(Pade method)が使用されて、SAP公式上で「繰り込み(renormalization)」を行うことができる。
Figure 2008523516
この方法の利点は、それがより少ないシミュレーション点を必要とするだけであり、多項式よりも良く、極めて非線形な関数と一致し得ることである。しかし、この方法では、有界領域ランダム分布(bounded domain random distribution)が好ましく、精度は一般に制御することが難しく、多次元についての交差項は失われることがある。
SAP統計
SAP適合手順後にSAPモデルが生成される場合、出力分布は、以下の式から生成されることができる。
Figure 2008523516
基本的な3つの手法、すなわち、4モーメント法(Four Moment method)、モンテカルロ法、および事前生成結果の使用が存在する。4モーメント法のステップは以下のようになる。
1.出力分布の平均は、μ=fである。
2.出力分布の分散は、
Figure 2008523516
である。
3.出力分布の歪度は、
Figure 2008523516
である。
4.出力分布の尖度は、
Figure 2008523516
である。
モンテカルロ法の場合、一般的な分布は、SAP公式上の標準モンテカルロ技法によって生成されることができる。
事前生成結果が使用される場合、プロセスは、
1.モンテカルロシミュレーションによって、エルミート関数H(x),H(x),H(x)*H(y)から、CDFを事前生成し、その後、以下の方法、すなわち、
(1)定数で乗算して、CDFのためにx軸をスケーリングし、(2)定数を加算/減算して、CDFのためにx軸をシフトする方法を使用して、最終的な分布を生成することを含む。
一実施形態においてSAPモデルを導き出す際の全体的ステップが、図1のフローチャートに示されている。プロセスは、変数変換102で開始する。変数変換のプロセスでは、入力パラメータが、最初に独立正規分布(1に等しい標準偏差を有するガウシアン)に変換される。その後、相関が、独立変数に分解される。プロセスはまた、どのようにこれらの正規変数を元のパラメータに変換し直すかも記録する。
104では、SAPサンプリングが実行される。このプロセスでは、必要とされる次数(n)およびパラメータ数(p)が、最初に決定される。その後、Hn+1(ξ)=0からのn+1個の根が、決定される。次に、(n+1)個の根から正確な数
Figure 2008523516
の根が、選択される。一実施形態では、これは、優先順位に関して、高い確率を最初とする順序で選択することによって行われる。その後、根は、入力をサンプリングするため、元の入力パラメータに変換され戻す。
106では、システムサンプリングが実行される。一実施形態では、システムは、入力信号または値が出力信号または値に変換される、既知または事前定義されたシステムを含む。システムは、回路(例えば、トランジスタ、ゲート、または論理ブロックレベル回路)、ブラックボックス、シミュレーションプログラム、ネットワーク、または任意の変換オブジェクトもしくはルールセットとすることができる。入力パラメータを使用して、プロセスは、出力応答値をシミュレートまたは計算する。その後、入力および出力は、サンプリングデータ点として記録される。
108では、SAP適合プロセスが実行される。このプロセスでは、入力が準備され、その後、上で説明されたような最小平均誤差公式を使用して、係数値が決定される。代替として、係数を計算するために、最小2乗誤差公式が使用されることができる。その後、適合結果が、1つまたは複数のSAPモデルに書き込まれる。
110では、統計結果が生成される。このプロセスは、SAPモデルを導き出し、出力分布を生成するために、以下の式を使用することによって行われることができる。
Figure 2008523516
SAPベースの回路設計
一実施形態では、SAP方法は、集積回路(IC)などの電子および半導体デバイスの、設計、シミュレーション、実装、および製造を含むプロセスにおいて使用される。SAPを使用する回路設計および確認に関して、変数の数を減らすことは、いくつかの理由で重要である。例えば、現行のULSI(超々大規模集積回路)技術の下では、単一デバイス(「チップ」)上のトランジスタの数は、数100万のオーダに達する。トランジスタ当たりただ1つのランダム要因(例えば、ドーパント濃度)が存在する場合、製造プロセスのいずれかの間に取り扱うべき数100万の変数が存在し、実際上解決不可能でないにしても、極めてプロセッサ集約的なものになり得る。したがって、変数の階層的削減は有利である。セルレベルにおいてさえも、1つのCMOSセルは、複数のトランジスタを有する。各トランジスタは、3つの変数Leff、Weff、Vthを有し、その場合、取り扱うべき数10の変数が存在する。シングルセル(single cell)をシミュレートするため、数100のシミュレーションが実行されなければならない。したがって、大多数の回路をモデル化するために、セルレベルの削減が一般に必要とされる。
計算目的で、SAP公式は、伝播されなければならない。伝播経路において、伝播プロセスに合併されるランダム変数がセル当たり1つ存在する場合、変数の数は増加する。したがって、伝播される変数の数を一定値に維持する方法が存在しなければならない。利用時、ユーザは、主軸(主成分)の情報を必要とすることがある。この場合、削減技術が、結果を提供することができる。したがって、削減は、(1)変数のセルレベル削減、(2)ブロック削減のための変数の階層的削減、(3)遅延計算におけるSAP公式伝播、および(4)SAPの主成分の識別について必要とされる。
削減の基本的アイデアは、パラメータ空間から特定の方向を見つけることである。その他の方向と比べて、変動は、この方向に沿って最大化される。分布は正規なので(多次元)、任意の方向に対しても、分布は正規である(1次元)。
変動は、以下のように記述されることができる。
Figure 2008523516
新しい変数は、元の変数の1次結合であるので、以下のようになる。
Figure 2008523516
最適化公式を導き出すための別の方法は、同等の観点に基づいている。
Figure 2008523516
この方法の場合、以下のステップが実行される。
1.係数の平方の和として元の出力関数変動を計算する。
Figure 2008523516
をSAP公式に代入し戻し、その後、新しいSAP公式が、新しい係数の平方の和として新しい出力変動を計算する。
2.元の出力関数変動と新しい出力変動の間の差を最小化する。
削減の別の観点は、(ただ1つの新しい変数を選択することによって引き起こされる)近似誤差を縮小することである。これのため、以下の公式が使用される。
Figure 2008523516
主成分を見つける際、極値ベクトル(extreme vector)を見つける1つの方法は、ラグランジュ緩和(Lagrangian relaxation)を使用することである。
Figure 2008523516
しかし、これらの式は一般に非線形であり、そのため、正確な解を得るのが難しいことがある。この場合、正確な解を見つけるために、特別なケース(case)が使用されることができ、一般のケースのために、発見的解法が使用されることができる。
線形手法の特別なケースでは、関数を近似するために次数1のエルミート多項式だけが使用される場合、関数は以下のようになる。
Figure 2008523516
この公式は、
Figure 2008523516
を導き出すために使用されることができる。そのため、変動を表すために、単一のベクトルが使用されることができる。
Figure 2008523516
一般の解法の場合、非線形多変数関数を計算する1つの方法は、「最急降下(steepest descent)」法またはニュートン法を使用することである。一般に、これは、関数が非目標点に収束する場合、またはまったく収束しない場合を含む、反復的方法である。このケースでは、それらを収束させるために、いくつかの発見的手法が使用されることができる。この手法の下では、変動についての十分な情報が獲得され得る場合、重要な方向が導き出されることができる。線形手法は、最急降下最適化プロセスを開始するための初期推定として使用される。代替として、各変数によって引き起こされる変動は、1次結合を重み付けるための尺度として使用されることができる。より近い初期推定によって、より良い収束結果が獲得されることができる。
SAPから優位ベクトルが抽出されると、より多くのベクトルが、残りの剰余(residue of the remainders)から抽出されることができる。基本的に、SAPは変数が独立変数であることを必要とするので、これらのベクトルは互いに「直交」であると見なされなければならない。グラム−シュミットの直交化(Gram−Schmidt orthogonalization)プロセスなどのプロセスが、現在の新しく抽出された変数とこれまでの直交化セットの間の直交性を保証するために使用されることができる。
一実施形態では、SAPモデリング方法は、完成IC製品に関係する設計、製造、実装、動作条件、または環境に関係する異なる可能な変動の影響をモデル化するために、集積回路製造サイクルの設計ステージにおいて使用される。図2は、一実施形態による、SAPを含む集積回路設計および製造プロセスの第1のブロック図である。図1では、全体的プロセスは、集積回路の設計202で開始する。説明目的で、ICデバイスは、数10万個のディスクリートトランジスタを含むVLSI(超大規模集積回路)デバイスであると仮定されることができるが、しかし、本明細書で説明されるプロセスは、数100万個のトランジスタを有するデバイス用に規模が拡大されることができ、または数個のトランジスタもしくはゲートを有するだけのセル用に規模が縮小されることができる。
設計が定められた後、それが実装204され、解析206される。その後、個々のICデバイスまたは「チップ」212としてパッケージされるウェーハを生産するために、IC製品が設計に従って製造208される。歩留まり予測プロセス214が、製造208と最終チップ組立ステージ212の間で、生産される使用可能なチップの実際の数を予測するために実施されることができる。図2に示された実施形態の場合、SAP方法210は、製造と解析、実装、および設計ステージとの間で、最終製品歩留まりに対するこれらのステージに関連する異なる変動の影響を予測するために実施される。このモデリング方法は、全体的プロセスにおける変動を計算に入れるために、設計ステージ202において、設計および/または実装/製造プロセスを改善または最適化するためのステップがとられることを可能にする。SAP方法210は、(従来の方法と比べて)少数のサンプリング点を選択し、その選択されたサンプリング点においてシミュレーション演算を実行することによって、標準モンテカルロモデリング方法に取って代わる。単一のコーナ点が選択され、モデル適合プロセスが、そのサンプル点において実行される。
図3は、様々な性能メトリックへの適用性を示す一実施形態による、SAPを含む集積回路設計および製造プロセスの第2のブロック図である。図3のプロセスでは、新しい設計が作成された後、試験チップ302のサンプルについて1つまたは複数の性能メトリックが測定304される。その後、与えられた性能メトリック(例えば、電力、温度、速度)についての測定結果のモデル306が開発される。1つまたは複数のコーナ値308が選択され、SAP方法310が実行される。その後、結果の性能変動予測312が、チップの生産バッチについての歩留まりを最適化するために、1つまたは複数の設計314、実装318、または解析316ステージにおいて利用される。
SAPモデリングプロセスは、不要な設計マージンを減らし、プロセス潜在能力(process potential)を最大化する。SAPモデリングプロセスはまた、プロセスパラメータ調整のために設計変動を予測し、設計クリティカルパラメータとプロセスクリティカルパラメータとを結合することによって、製品の歩留まり傾斜を加速する。図4は、一実施形態による、SAP方法を実施するIC製作プロセスにおける生産チェーンのブロック図である。プロセス開発ステージ402は、試験チップ適合コンポーネント412を含む。設計プロセス中、コンポーネントのライブラリが通常は設計される。ライブラリ設計ステージ404は、SAPライブラリ生成コンポーネント414を含む。システムオンチップ(SoC)設計406の場合、変動解析コンポーネント416および設計最適化コンポーネント418が含まれる。サンプル生産量(production run)408が生産され、その時点で、変動補正ステップ420およびプロセス最適化ステップ422が実行される。製品の大量生産410中、プロセスメトリック424および性能メトリック426が監視される。
図5は、一実施形態による、IC製造における変動の統計的モデリングを実行するためのコンポーネントとしてSAPを示すブロック図である。性能解析コンポーネント512は、チップ性能統計分布516を生成するために、多数の異なる入力プロセスおよびモデルにおいてSAPモデリング514を適用する。性能解析器512への入力は、設計変動モデル502、プロセス変動モデル504、およびデバイス変動性メトリック506を含む。擬似設計レイアウト508および設計ネットリスト(design netlist)510などの実際の設計データも、SAPモデル514によって処理されることができる。統計分布516は、歩留まり、電力、タイミング、シグナルインテグリティ(signal integrity)、漏れ、およびその他の要因を含む、チップ動作および性能の多くの異なる面518に関する変動情報を提供することができる。
図6は、一実施形態による、設計プロセスにおけるSAP機能を示すブロック図である。SAPモジュール604は、設計レイアウトプロセス602に機能的に結合された、回路、ソフトウェアプロセス、またはそれらの任意の組み合わせである。SAPモジュール604は、3つのサンプリング点608など、サンプリング点を抽出する。SAPモジュールは、変動解析の出力分布のグラフィカル表現を生成するために、SAPモデル606を利用する。
図7は、一実施形態による、図6のSAPコンポーネント604などのSAPコンポーネントの機能要素を示している。図7に示されるように、1つまたは複数のパラメータ702の分布またはプロフィールが、パラメータ変換モジュール704に入力される。各パラメータは、システム708の動作を変更することができる特性を表す。パラメータ分布は一般に、これらのパラメータのいずれか2つまたは3つ以上の間の相関を提供する。
一実施形態では、システム708は、入力値に応答して出力値または信号を生成する、シミュレーションプログラム、回路などの、任意のタイプのブラックボックスを表す。パラメータ702の変動は一般に、システム708の出力の変動をもたらす。SAPモデル適合プロセスは、パラメータ702の変動が与えられると、モンテカルロ分析などの従来の方法よりも著しく少数のサンプリング点を使用して、システム出力の変動を表す統計出力を生成する。システム708が電気回路である場合、パラメータ702は、相互接続、寸法、温度、電圧など、様々なデバイスまたは環境特性を表すことができる。702の各曲線は、各パラメータについての変動性を表すことができる。
一実施形態では、パラメータ変換ブロック704は、モデル適合演算710を容易にするために、(異なる変動様式を有することがある)異なるパラメータの形式を正規化し、また入力702からのサンプリング点の数も減らす。パラメータは、正規化標準パラメータ分布値705に変換される。パラメータ変換プロセス704はまた、正規化標準独立パラメータ分布の生成において、パラメータのいずれかの間のどのような相関も分解する。これらの正規化分布は、システム708に入力されるサンプリング点を決定するために、入力点生成器706によって使用される。一実施形態では、正確な入力点が、SAP入力サンプルの生成に関して上で説明された方法によって生成される。
入力点上でのシステムプロセス708の実行は、結果データセットの生成をもたらす。このデータは、元の入力点および正規化標準独立パラメータ分布値とともに、モデル適合プロセス710に入力される。モデル適合プロセス710は、統計結果712を生成するために、SAPモデル711を利用する。変換パラメータばかりでなく、システム708の結果も、パラメータ702の変動に基づいたシステム挙動を説明する出力分布曲線712を生成するために、モデル適合される。十分な粒度および処理能力を有するシステムの場合、出力曲線712のアウトラインは、入力分布702のプロフィールと一致すべきである。一実施形態では、入力点生成器706が、全数の入力サンプリング点702から最高確率点を選択する。このサンプル方針は、モデル適合コンポーネント710によって処理されるサンプリング点の部分集合を生成する。
図7に示されたSAPモデルは、近似技法に基づいた直交多項式を利用する。この技法は、不確定なパラメータに関してモデル化される特性(例えば、遅延または電力)の分析式を獲得する。出力は、直交多項式を使用して入力に関して表現される。出力表現内の未知係数は、SAP方法を使用して推定される。
システム708は、主成分分析によってデータ無相関演算を実行する。ゼロ相関への線形変換が、新しいパラメータを用いて実行され、ガウシアンまたは原サンプルデータが、使用されることができる。小さいまたはゼロの分散項を除去することによって、変数が減らされる。これらのステップは一般に、上で説明されたSAP方法を使用して実行される。
図8は、一実施形態による、図7のパラメータ変換およびSAPモデリングを実行する方法を示すフローチャートである。図8のプロセスは、パラメータプロフィール802のリストからの入力パラメータ804の選択で開始する。パラメータプロフィール(またはパラメータ分布)は、システムによってモデル化される変動性の1つまたは複数の源泉を列挙する。入力パラメータは、正規化プロフィール808を使用して変換806される。その後、変換パラメータは、サンプリング入力値810を獲得するために使用される。サンプリング点を選択するプロセスは、一実施形態による、図10に示されている。サンプリング点(または選点)は、関数1002の根および最高確率領域1004から選択される。3次エルミート多項式の根が、選点SQRT3、0、SQRT3における2次適合のために選択されることができる。
サンプリング入力値は、サンプリング出力値816を獲得814するために、シミュレーションプロセス818へのサンプル入力812として使用される。その後、サンプリング出力値は、統計分析822を獲得するために、SAPモジュール824を使用してモデル化されるSAP適合演算820において使用される。
図9は、一実施形態による、設計プロセスのためのシミュレーションへの、図8のSAPモデリング方法の適用の一例を示すブロック図である。図9のシステムでは、ステップ810において入力値が獲得されるサンプル入力が、技術ファイル902およびSAPライブラリ904によって提供される。技術ファイル902は、抽出入力を定義する。SAPライブラリ904は、業界標準ライブラリファイルである。一実施形態では、906から910までのプロセスは、結果の遅延908を測定することによってシステムの速度挙動をシミュレートまたは測定するために、静的タイミング分析(STA:static timing analysis)910を実行するための寄生的な抽出プロセスである。例えば、技術ファイルおよびライブラリは、ユーザが、回路の抵抗/インダクタンス/キャパシタンス値などの回路の様々な特性を、配線寸法、幾何学的形状、素材などの物理パラメータから抽出することを可能にする。したがって、図9の例の場合、SAPプロセスは、ファイル902およびライブラリ904を使用し、シミュレーションプロセスは、抽出プロセス906と、遅延908、電力などの性能メトリックについての計算とを含む。
上で説明されたように、図10は、一実施形態による、図7のシステムにおいて利用されるサンプリング点(選点)の選択を示している。一般に、必要とされる選点の数は、級数展開における未知係数の数に等しい。より高次元のシステムおよびより高次数の近似の場合、利用可能な選点の数は、必要とされる選点の数より常に大きく、そのことは、適切な選点を選択する問題を導入する。一実施形態では、選点の選択に関する2つの基準が存在し、2つの基準は順番に実行される。
第1の基準は、単一入力または単一ランダム変数のためのものである。各ランダム変数ξは、選点として平均、最大、および最小を選択するが、それは、これらの点が、分布の最高確率および偏差を表すからである。選点の数は、解かれる必要がある係数の数を超えることがあるので、より高い確率を有する点が、選点として選択される。第1の基準は、複数入力または複数ランダム変数のためのものである。ここでは、m個の入力またはm個のランダム変数が存在すると仮定する。基準1と同様に、各ランダム変数について、選点が選択される。各ランダム変数がq個の選点を有する場合、q個の選点組(collocation point sets)が存在する。選点の数は、以下の式における未知係数aの数に設定する。
Figure 2008523516
例えば、aを評価するには、選点として、点(0,0)が選択される。この場合、ξの平均は0である。aを評価するには、選点として、(ξ1c1,0)が選択され、ここで、ξ1c1は3次直交多項式の非ゼロ根である。aを評価するには、選点として、(0,ξ2c1)が選択される。同様に、上記の式の各項について、それが2以上のランダム変数を含む場合、対応する変数の選点は、非ゼロ根として選択される。選点の組(set of collocation points)内に結び付き(tie)が存在する場合、平均により近い点が好ましいが、それは、図10に示されるように、それらがより高い確率の領域に含まれるからである。結び付きが依然として解消されない場合、選択された選点の分布が平均の周りで対称に近づくように、選点が選択される。さらなる結び付きについては、点はランダムに選択される。
SAPスケーリング
一実施形態では、SAP方法は、システムレベルに量子レベル解像度(quantum level resolution)をもたらすために、階層的構造にわたって再帰的に適用される。図11は、一実施形態による、様々な設計スケールに対するSAPモデルの再帰的適用を示している。図11に示されるように、SAP方法は、システム1102からコンポーネント1104、さらにセル/ゲート1106へなど、システム設計スケールの下方に向うカスケード方式で、再帰的かつ暗黙的にそれ自体の機能を呼び出す。
以下のプログラムリストは、一実施形態による、システムレベルにおけるSAPモデル用の擬似コードの一例である。
Figure 2008523516
システム(またはチップ)レベルにおける第1の適用時に、SAPサブルーチンは、システムのコンポーネントの各々についてSAPモデルを要求する。今度は、コンポーネントレベルにおけるSAPモデルが、セル/ゲートレベルにおいてSAPモデルを呼び出す。コンポーネントレベルのタイミングおよび電力は、コンポーネントのすべてのセル/ゲートのタイミングおよび電力に依存する。一実施形態では、セル/ゲートライブラリ用のSAPモデルが、すでに分析的に構築されている。入力温度、相互接続およびデバイスの幾何学的形状パラメータ、ならびに入力信号遅延から、タイミングおよび電力をもたらす、SAPセル/ゲートレベル分析式の正確な形を提供するために、量子レベルシミュレータが使用されることができる。
SAPセル/ゲートモデルから生じる「ゴールデンデータ(golden data)」は、SAPコンポーネントモデルの構成を可能にする。同じゲート−コンポーネントサイクルが、SAPシステムモデルを生み出すために、コンポーネント−システムレベルにおいて繰り返される。両方のサイクルにおいて、SWECなどの量子レベルシミュレータが、後続のより大きなスケールにおけるモデルの構成にとって必須のゴールデンデータを提供する。したがって、セル/ゲートレベル性能(SWEC分析式)から、コンポーネントレベル性能へと進み、最後にシステムレベル性能へと進むことが可能である。要約すると、コンポーネントレベルのタイミングおよび電力は、すべてのコンポーネントゲートのタイミングおよび電力の関数である。SAPゲートモデルから生じるゴールデンデータは、SAPコンポーネントモデルの構成を可能にする。同じゲート−コンポーネントサイクルが、SAPシステムモデルを生み出すために、コンポーネント−システムレベルにおいて繰り返される。両方のサイクルにおいて、SWECなどの量子レベルシミュレータが、後続のスケールにおけるモデルの構成にとって必須のゴールデンデータを提供する。
図12は、一実施形態による、図11に示された設計スケールにわたるSAP階層モデルの構成を示すフローチャートである。システム−コンポーネント−セルの各レベル1202について、ゴールデンデータおよび適切なSAPモデルが、適切なレベルにおけるモデルの構成を提供するために適用される。図12に示されるように、セル/ゲートスケールでは、(量子効果デバイスシミュレータ1214から入力を得ることができる)セル/ゲートSAP分析式モデル1212が、セル/ゲートSAPモデル1210に適用される。これが、今度は、コンポーネントスケールにおいてコンポーネントSAPモデルによって使用される。コンポーネントSAPモデル1208は、コンポーネントSAPゴールデンデータ1206を生成し、今度は、これが、システムスケールにおいてシステムSAPモデル1204によって使用されることができる。
一実施形態では、再帰的SAPモデルの出力は、w、L、および温度(これらがモデル化される変数であると仮定する)に関するシステムレベル分析式である。このトップダウン再帰的手順は、システムレベル性能またはシステム応答曲面についてのw、L、および温度の選点を自動的に識別する。さらに、結果のシステムレベルSAPモデルは温度の関数であるので、温度が変化した場合、システムレベルSAPモデルは、全チップ電力分析を行わずに電力の揺らぎを予測する。再帰的フレームワークはさらに、現行レベルシミュレータ(例えば、SPICE)をナノデバイスまたは分子レベルシミュレータで置き換えるだけで、将来の新デバイスベースの設計に拡張されることができる。結果のシステムレベルSAPモデルは、分子レベル解像度を有する。
本明細書で説明されるSAP手法は、VLSI(超大規模集積)回路内のタイミングフローなど、回路性能の複数の面に適用されることができる。タイミング計算の場合、システムは、回路の各端点についてプロセスのSAP公式を提供する。これらの端点は通常、クロックツリー、クロックピン、データピン、セット/リセットピンを含む。最初、各「端点」についての到着時間のSAP公式が導き出され、その後、クロックスキュー分析(Clock Skew Analysis)およびスラック時間分析(Slack Time analysis)が、実行されることができる。加えて、ロバストネス試験(Robustness test)も、その結果に対して適用されることができる。VLSIおよびULSI回路設計へのSAPのその他の適用は、設計の熱的および電力特性、ならびに複数入力切換の影響およびプロセス変動のモデル化を含む。
上で述べられたように、本明細書で説明されるSAPプロセスの実施形態は、ICデバイスの様々な局面をシミュレートすることに適用されることができる。そのような適用の1つが、クロックスキューの分析である。従来のクロックスキュー分析においては、任意の時間領域について、最大クロックスキューは、各端点からの最大および最小の到着時間(AT)を計算し、その後、クロックスキュー=Max AT−Min ATという公式からクロックスキューを見出すことによって獲得される。
統計分野では、単一値の代わりの分布が、SAP分析から決定される。任意の2点が与えられると、スキューSAP公式は、
skew(p〜pSAP=AT(p〜pSAP−AT(p〜pSAP
によって表されることができる。
しかし、時間領域端点が多数であるため、ペア毎に分布を計算することは実現可能ではない。したがって、方法は、最大スキュー分布を得るために、4つの発見的方法を提供することを含む。
発見的手法1の場合、以下のステップが実行される。(1)最大平均(SAP公式における定数項)到着時間を有する端点を見つける。(2)最小平均(SAP公式における定数項)到着時間を有する端点を見つける。(3)A、Bから端点ペアを選択する。
発見的手法2の場合、以下のステップが実行される。(1)最大平均プラス標準偏差の到着時間を有する端点を見つける。(2)最小平均マイナス標準偏差(SAP公式における定数項)の到着時間を有する端点を見つける。(3)A、BペアのM×M個のグループから端点ペアを選択する。
発見的手法3の場合、以下のステップが実行される。最大平均(SAP公式における定数項)到着時間を有する最初のM個の端点を見つける。(2)最小平均(SAP公式における定数項)到着時間を有する最初のM個の端点を見つける。(3)A、BのM×M個のグループから最悪端点ペアを選択する。
発見的手法4の場合、以下のステップが実行される。(1)最大平均プラス標準偏差の到着時間を有する最初のM個の端点を見つける。(2)最小平均マイナス標準偏差(SAP公式における定数項)の到着時間を有する最初のM個の端点を見つける。(3)A、BのM×M個のグループから最悪端点ペアを選択する。
最大または最小のために単一の点を使用するのではなくより多くの点を選択する理由は、スキューが共通ファクタを有する場合、全分布が異なることにある。したがって、上位M個(およそ5〜10)の候補が、最大および最小グループの両方のために維持され、それらが1つずつ比較される。分布を得るために、スキューSAP公式上で働くラテンキュービック法(Latin Cubic method)または修正モンテカルロ法が使用されることができる。分布から、ユーザは、与えられたパーセンテージカバレージ(例えば、80%)に対して、最大スキューが何であるか、または与えられたスキュー許容度に対して、可能な製品全体のパーセンテージカバレージが何であるかなど、様々なファクタを指定することができる。ユーザが、CDF軸をセグメントに分割し、それらから異なる性能を得ることも可能である。製品を瓶(bin)にグループ化するため、ユーザは、瓶の境界(最大−最小)を設定することができる。その場合、CDF値から、カタログに入る製品のパーセンテージを決定することが可能である。
SAP適用の別の例は、スラック時間分析を実行することである。従来のタイミングセットアップチェックは、以下の公式からスラックを計算することである。
スラック=(クロックピン用の)所要時間−(データピン用の)到着時間
所要時間=クロック周期−セットアップ時間+(クロックピン用の)到着時間
⇒スラック=クロック周期
+(クロックピン用の)到着時間
−(データピン用の)到着時間
−セットアップ時間
−セットアップ時間マージン
保持時間チェックは、以下から決定される。
スラック=(データピン用の)到着時間−(クロックピン用の)所要時間
所要時間=保持時間+(クロックピン用の)到着時間
⇒スラック=(データピン用の)到着時間
−(クロックピン用の)到着時間
−保持時間
−保持時間マージン
STA用語では、クロックピンは基準ピン(reference pin)と呼ばれ、データピンは拘束ピン(constrained pin)と呼ばれることに留意されたい。
一実施形態では、本明細書で説明されるSAP方法は、SAP公式の導出を可能にする。SAP公式は、スラック時間を決定するために上記の公式に代入されることができる。スラックSAP公式は、プロセス変数の関数である。
slack(p〜pSAP
そのため、各タイミングチェック点(拘束)について、このスラックが存在する。分布を得るために、スキューSAP公式と併せて、ラテンキュービック法または修正モンテカルロ法が使用されることができる。すべての拘束点(constrained point)についてのCDFが、構成されることができ、複数の適用例において適用されることができる。
例えば、従来のSTAによれば通常は最悪クリティカルパス端点である、単一拘束点の場合、スラック分布が、以下を決定するために、すなわち、与えられたパーセンテージカバレージ(80%)に対して、スラックが何であるか、または与えられたスラック許容度に対して、可能な製品全体のパーセンテージカバレージが何であるかを決定するために使用されることができる。ユーザが、CDF軸をセグメントに分割し、それらから異なる性能を得ることも可能である。製品を瓶にグループ化するため、ユーザは、瓶の境界(最大−最小)を設定することができる。CDFから、カタログに入る製品のパーセンテージを決定することが可能である。
別の適用例は、すべての拘束点のスラックにおいて適用される。与えられたカバレージパーセンテージ(例えば、90%)に対して、各拘束点について、スラック数が決定されることができる。したがって、スラックは再分類されることができ、クリティカルパスが決定される。パス毎の感度差(sensitivity difference)のため、ランキングは、選択された各カバレージパーセンテージとは異なる。
別の適用例は、ロバストネス試験を含む。ICの製造は、静的なプロセスではなく、常に変動を含み、これらの変動も、時間とともに変化している。最良の製造業者は、プロセスを安定に保つことができる。安定なプロセス制御では、プロセスパラメータは、SPC(統計的プロセス制御)チャートまたはCpk(プロセス能力測定)として監視される。一般に、それらのプロセスパラメータは、プロセス制御下ではあまり大きくは変化しない。しかし、ごく僅かの平均移動および変動変化は、まったく普通のことである。そのため、プロセスにおける良好な製品は、変動を有することができ、これらの変動は、時間とともに変化することができる。
ロバストネス試験は、プロセス変動に対する製品の頑健性を試験するために使用される。主な問題は、予想プロセスパラメータ分布が変化を受けることである。結果の分布も、しかるべく変化を受ける。
本明細書で説明されたSAP方法を使用して、ユーザは、スキュー分析およびスラック分析の両方において、ロバストネス試験を実行することができる。これらの背後にある基本アイデアは、SAP公式を再使用することである。パラメータ分布がごく僅か変化する一方で、SAP公式は、元のSAPから再形成されることができ、新しい分布は、それが仕様を満たすかどうかを決定するために、試験されることができる。
SAPの代替実施形態、変形、および/または変更は、性能拡張(performance expansion)の直交多項式の根に基づいてサンプリング点を見つけること、モーメント展開(moment expansion)の根に基づいてサンプリング点を生成すること、ゴールデンデータと、多項式の根として選点(またはサンプリング点)を見つける統計的応答曲面法(SRSM:Statistical Response Surface Method)と、応答曲面法に関連する(エルミートなど)任意の直交多項式とを生成するために異なるツールを使用することを含むが、これらに限定されない。
本明細書で説明される実施形態は、事前定義システムの1つまたは複数のパラメータの入力分布と、パラメータの間の相互相関とを受け取るステップと、標準化独立パラメータセットを生成するために、パラメータ分布を正規化し、相互相関を分解するステップと、標準化独立パラメータセットに基づいて、事前定義システムのサンプリングのための入力値の特定のセットを生成するステップと、事前定義システム出力値を生成するために、入力値の特定のセット上で、事前定義システムサンプリングを実行するステップと、SAPモデルを生成するために、入力値の特定のセット、事前定義システム出力値、および標準化独立パラメータセット上で、直交多項式適合を実行するステップと、事前定義システムの出力分布を生成するために、SAPモデルを使用するステップと、を含む方法を含む。
一実施形態では、出力は、入力パラメータの変動に基づいた事前定義システムの出力分布の統計的表現であり、統計的表現は、グラフィカルPDF、CDF、平均およびシグマ値セットの1つを含む。
一実施形態では、サンプリングのための入力値の特定のセットは、直交多項式の適合次数の数(number of the fitting order)を定義するステップと、パラメータの数を獲得するステップと、直交多項式のより高い確率の根を選択するステップと、より高い確率の根を事前定義システムのサンプリングのための入力値の特定のセットに変換するステップと、を通して決定される。
一実施形態では、事前定義システムは、トランジスタデバイス、論理ゲートデバイス、およびシステムオンチップ回路の1つである。
一実施形態では、SAPモデルは、事前定義システムのもう1つのブロックを含む、事前定義システムの階層的により抽象的なバージョンの性能をシミュレートする。
一実施形態では、事前定義システムは、論理ゲートであり、階層的により抽象的なバージョンは、システムオンチップデバイスを含む。
一実施形態では、前記方法は、複数の独立標準化変数を含むようにSAPモデルを定義するステップと、パラメータの1次結合の優位ベクトルから1つまたは複数の追加のSAPモデルを再構築するステップと、SAPモデルと追加のSAPモデルの間の差を最小化することによって、ベクトル選択を最適化するステップであって、追加のSAPモデルが、SAPモデルよりも少ない独立変数を使用して、SAPモデルの近似挙動特性を表すステップと、をさらに含む。
また別の実施形態は、最下位レベルシステムと最上位レベルシステムの間の階層的関係を定義するステップと、最下位レベルシステムについてのシステム挙動を予測するために、1つまたは複数のSAPモデルを含む分析モデルを事前生成するステップと、最上位レベルシステムSAPモデルを構築するために、1つまたは複数の最下位レベルSAPモデルを再帰的に使用するステップと、を含む。
一実施形態では、1つまたは複数のSAPモデルの少なくとも1つは、最下位レベルシステムの1つまたは複数のパラメータの入力分布と、パラメータの間の相互相関とを受け取るステップと、標準化独立パラメータセットを生成するために、パラメータ分布を正規化し、相互相関を分解するステップと、標準化独立パラメータセットに基づいて、最下位レベルシステムのサンプリングのための入力値の特定のセットを生成するステップと、最下位レベルシステム出力値を生成するために、入力値の特定のセット上で、最下位レベルシステムサンプリングを実行するステップと、SAPモデルを生成するために、入力値の特定のセット、最下位レベルシステム出力値、および標準化独立パラメータセット上で、直交多項式適合を実行するステップと、によって生成される。
一実施形態は、最下位レベルシステムの出力分布を生成するために、SAPモデルを使用するステップを含む。
一実施形態では、出力は、入力パラメータの変動に基づいた最下位レベルシステムの出力分布の統計的表現である。
一実施形態では、サンプリングのための入力値の特定のセットは、直交多項式の適合次数の数を定義するステップと、パラメータの数を獲得するステップと、直交多項式のより高い確率の根を選択するステップと、より高い確率の根を最下位レベルシステムのサンプリングのための入力値の特定のセットに変換するステップと、を通して決定される。
一実施形態では、最下位レベルシステムは、トランジスタデバイス、論理ゲートデバイス、およびシステムオンチップ回路の1つである。
一実施形態では、最下位レベルシステムは、トランジスタデバイスであり、階層的により抽象的なバージョンは、システムオンチップデバイスを含む。
一実施形態では、前記方法は、複数の独立標準化変数を含むように最下位レベルSAPモデルを定義するステップと、パラメータの1次結合の優位ベクトルから1つまたは複数の追加のSAPモデルを再構築するステップと、SAPモデルと追加のSAPモデルの間の差を最小化することによって、ベクトル選択を最適化するステップであって、追加のSAPモデルが、SAPモデルよりも少ない独立変数を使用して、SAPモデルの近似挙動特性を表すステップと、をさらに含む。
実施形態は、事前定義回路の1つまたは複数のパラメータの入力分布と、パラメータの間の相互相関とを受け取る手段と、標準化独立パラメータセットを生成するために、パラメータ分布を正規化し、相互相関を分解する手段と、標準化独立パラメータセットに基づいて、事前定義回路のサンプリングのための入力値の特定のセットを生成する手段と、事前定義回路出力値を生成するために、入力値の特定のセット上で、事前定義回路サンプリングを実行する手段と、SAPモデルを生成するために、入力値の特定のセット、事前定義回路出力値、および標準化独立パラメータセット上で、直交多項式適合を実行する手段と、事前定義回路の出力分布を生成するために、SAPモデルを使用する手段と、を含むシステムをさらに含む。
一実施形態では、出力は、入力パラメータの変動に基づいた事前定義回路の出力分布の統計的表現である。
一実施形態では、前記システムは、直交多項式の適合次数の数を定義する手段と、パラメータの数を獲得する手段と、直交多項式のより高い確率の根を選択する手段と、より高い確率の根を事前定義回路のサンプリングのための入力値の特定のセットに変換する手段と、をさらに含む。
一実施形態では、事前定義回路は、トランジスタデバイス、論理ゲートデバイス、およびシステムオンチップ回路の1つであり、SAPモデルは、事前定義回路のもう1つのブロックを含む、事前定義回路の階層的により抽象的なバージョンの性能をシミュレートする。
一実施形態では、前記システムは、複数の独立標準化変数を含むようにSAPモデルを定義する手段と、パラメータの1次結合の優位ベクトルから1つまたは複数の追加のSAPモデルを再構築する手段と、SAPモデルと追加のSAPモデルの差を最小化することによって、ベクトル選択を最適化する手段であって、追加のSAPモデルが、SAPモデルよりも少ない独立変数を使用して、SAPモデルの近似挙動特性を表す手段と、をさらに含む。
本明細書で説明されるSAPの態様は、フィールドプログラマブルゲートアレイ(FPGA)、プログラム可能アレイ論理(PAL)デバイス、電気的プログラム可能論理/メモリデバイス、およびスタンダードセルベースのデバイスなどのプログラム可能論理デバイス(PLD)、ならびに特定用途向け集積回路(ASIC)を含む、様々な回路のいずれかにプログラムされる機能として実施されることができる。SAPの態様の実施のためのその他のいくつかの可能性は、(電気的に消去可能なプログラム可能読み出し専用メモリ(EEPROM)などの)メモリを有するマイクロコントローラ、埋め込みマイクロプロセッサ、ファームウェア、ソフトウェアなどを含む。さらに、SAPの態様は、ソフトウェアベースの回路エミュレーションを有するマイクロプロセッサ、ディスクリート論理(順序および組み合わせ)、カスタムデバイス、ファジー(ニューラル)論理、量子デバイス、および上記デバイスタイプのいずれかの混成で実施されることができる。もちろん、基礎をなすデバイス技術は、例えば、相補形金属酸化膜半導体(CMOS)のような金属酸化膜半導体電界効果トランジスタ(MOSFET)技術、エミッタ結合論理(ECL)のようなバイポーラ技術、ポリマー技術(例えば、シリコン共役ポリマーおよび金属共役ポリマー−金属構造)、混合アナログ/デジタルなど、様々な構成要素タイプで提供されることができる。
本明細書で開示された様々なシステムおよび方法の構成要素は、それらの挙動、レジスタ転送、論理構成要素、トランジスタ、レイアウトの幾何学的形状、および/またはその他の特性に関して、コンピュータ支援設計ツールを使用して記述され、様々なコンピュータ可読媒体内に実施されるデータおよび/または命令として表現される(または表される)ことができることに留意されたい。そのような回路表現が実施され得るファイルおよびその他のオブジェクトのフォーマットは、C、Verilog、およびHLDLなどの挙動言語(behavioral language)をサポートするフォーマット、RTLなどのレジスタレベル記述言語(register level description language)をサポートするフォーマット、GDSII、GDSIII、GDSIV、CIF、MEBESなどのジオメトリ記述言語(geometry description language)をサポートするフォーマット、ならびにその他の適切なフォーマットおよび言語を含むが、それらに限定されない。
そのようなフォーマット化データおよび/または命令が実施され得るコンピュータ可読媒体は、様々な形式の不揮発性記憶媒体(例えば、光学、磁気、または半導体記憶媒体)、ならびにそのようなフォーマット化データおよび/または命令を、無線、光学、もしくは有線信号媒体、またはそれらの任意の組み合わせを介して転送するのに使用され得る搬送波を含むが、それらに限定されない。搬送波によるそのようなフォーマット化データおよび/または命令の転送の例は、1つまたは複数のデータ転送プロトコル(例えば、HTTP、FTP、SMTPなど)によるインターネットおよび/またはその他のコンピュータネットワークを介した転送(アップロード、ダウンロード、電子メールなど)を含むが、それらに限定されない。1つまたは複数のコンピュータ可読媒体を介してコンピュータシステム内で受け取られた場合、上で説明されたシステムおよび方法のそのようなデータおよび/または命令ベースの表現は、ネットリスト生成プログラム、配置配線プログラム(place and route program)などを含むが、それらに限定されない1つまたは複数のその他のプログラムの実行と併せて、コンピュータシステム内の処理エンティティ(例えば、1つまたは複数のプロセッサ)によって処理されることができる。
文脈がその他の解釈を明らかに必要としない限り、説明の全体にわたって、「含む(comprise/comprising)」などの語は、排他的または網羅的な意味ではなく、包含的な意味に、すなわち、「含むが、それらに限定されない」という意味に解釈されるものとする。単数形または複数形を使用する語も、それぞれ複数または単数を含む。さらに、「本明細書では」、「以下で」、「上記の」、「下記の」という語、および類似の趣旨の語は、本出願を全体として指し示し、本出願のいずれか特定の部分を指し示さない。「または」という語が2つ以上の項目のリストに関連して使用される場合、この語は、以下の解釈のすべて、すなわち、リスト内の項目のいずれか、リスト内の項目のすべて、およびリスト内の項目の任意の組み合わせという解釈に該当する。
SAPの例示的な実施形態の上記の説明は、網羅的であること、またはICを製作するためのシステムおよび方法を開示された通りの形に限定することを意図されていない。SAPの特定の実施形態および実施例が、例示的な目的で本明細書において説明されたが、当業者が理解するように、ICを製作するためのその他のシステムおよび方法の範囲内で、様々な等価な変更が可能である。本明細書で提供されるSAPの教示は、上で説明されたICを製作するためのシステムおよび方法のためばかりでなく、その他の処理システムおよび方法にも適用されることができる。
上で説明された様々な実施形態の要素および動作は、さらなる実施形態を提供するために組み合わされることができる。上記およびその他の変更は、上記の詳細な説明に照らして、SAPに施されることができる。
一実施形態による、SAPモデルを導き出す際の全体的ステップを示すフローチャートである。 一実施形態による、確率解析プロセス(SAP)を含む集積回路設計および製造プロセスの第1のブロック図である。 一実施形態による、確率解析プロセス(SAP)を含む集積回路設計および製造プロセスの第2のブロック図である。 一実施形態による、SAP方法を実施するIC製作プロセスにおける生産チェーンのブロック図である。 一実施形態による、IC製造における変動の統計的モデリングを実行するためのコンポーネントとしてSAPを示すブロック図である。 一実施形態による、設計プロセスにおけるSAP機能を示すブロック図である。 一実施形態による、SAPコンポーネントの機能要素を示す図である。 一実施形態による、図7のパラメータ変換およびSAPモデリングを実行する方法を示すフローチャートである。 一実施形態による、設計プロセスのためのシミュレーションへの、図8のSAPモデリング方法の適用の一例を示すブロック図である。 一実施形態による、サンプリング点を選択するプロセスを示す図である。 一実施形態による、様々な設計スケールに対するSAPモデルの再帰的適用を示す図である。 一実施形態による、図11に示された設計スケールにわたるSAP階層モデルの構成を示すフローチャートである。

Claims (20)

  1. 事前定義システムの1つまたは複数のパラメータの入力分布と、前記パラメータの間の相互相関とを受け取るステップと、
    標準化独立パラメータセットを生成するために、前記パラメータ分布を正規化し、前記相互相関を分解するステップと、
    前記標準化独立パラメータセットに基づいて、前記事前定義システムのサンプリングのための入力値の特定のセットを生成するステップと、
    事前定義システム出力値を生成するために、入力値の前記特定のセット上で、事前定義システムサンプリングを実行するステップと、
    SAPモデルを生成するために、入力値の前記特定のセット、前記事前定義システム出力値、および前記標準化独立パラメータセット上で、直交多項式適合を実行するステップと、
    前記事前定義システムの出力分布を生成するために、前記SAPモデルを使用するステップと、を含む方法。
  2. 前記出力が、前記入力パラメータの前記変動に基づいた前記事前定義システムの前記出力分布の統計的表現であり、前記統計的表現が、グラフィカルPDF、CDF、平均およびシグマ値セットの1つを含む、請求項1に記載の前記方法。
  3. サンプリングのための入力値の前記特定のセットが、
    直交多項式の前記適合次数の前記数を定義するステップと、
    パラメータの前記数を獲得するステップと、
    前記直交多項式の前記より高い確率の根を選択するステップと、
    前記より高い確率の根を前記事前定義システムのサンプリングのための入力値の前記特定のセットに変換するステップと、を通して決定される、請求項2に記載の前記方法。
  4. 前記事前定義システムが、トランジスタデバイス、論理ゲートデバイス、およびシステムオンチップ回路の1つである、請求項3に記載の前記方法。
  5. 前記SAPモデルが、前記事前定義システムのもう1つのブロックを含む、前記事前定義システムの階層的により抽象的なバージョンの前記性能をシミュレートする、請求項4に記載の前記方法。
  6. 前記事前定義システムが、論理ゲートであり、前記階層的により抽象的なバージョンが、システムオンチップデバイスを含む、請求項5に記載の前記方法。
  7. 複数の独立標準化変数を含むように前記SAPモデルを定義するステップと、
    前記パラメータの1次結合の優位ベクトルから1つまたは複数の追加のSAPモデルを再構築するステップと、
    前記SAPモデルと前記追加のSAPモデルの間の前記差を最小化することによって、ベクトル選択を最適化するステップであって、前記追加のSAPモデルが、前記SAPモデルよりも少ない独立変数を使用して、前記SAPモデルの近似挙動特性を表すステップと、をさらに含む、請求項1に記載の前記方法。
  8. 最下位レベルシステムと最上位レベルシステムの間の階層的関係を定義するステップと、
    前記最下位レベルシステムについてのシステム挙動を予測するために、1つまたは複数のSAPモデルを含む分析モデルを事前生成するステップと、
    前記最上位レベルシステムSAPモデルを構築するために、前記1つまたは複数の最下位レベルSAPモデルを再帰的に使用するステップと、を含む方法。
  9. 前記1つまたは複数のSAPモデルの前記少なくとも1つが、
    前記最下位レベルシステムの1つまたは複数のパラメータの入力分布と、前記パラメータの間の相互相関とを受け取るステップと、
    標準化独立パラメータセットを生成するために、前記パラメータ分布を正規化し、前記相互相関を分解するステップと、
    前記標準化独立パラメータセットに基づいて、前記最下位レベルシステムのサンプリングのための入力値の特定のセットを生成するステップと、
    最下位レベルシステム出力値を生成するために、入力値の前記特定のセット上で、最下位レベルシステムサンプリングを実行するステップと、
    前記SAPモデルを生成するために、入力値の前記特定のセット、前記最下位レベルシステム出力値、および前記標準化独立パラメータセット上で、直交多項式適合を実行するステップと、によって生成される、請求項8に記載の前記方法。
  10. 前記最下位レベルシステムの出力分布を生成するために、前記SAPモデルを使用するステップをさらに含む、請求項9に記載の前記方法。
  11. 前記出力が、前記入力パラメータの前記変動に基づいた前記最下位レベルシステムの前記出力分布の統計的表現である、請求項10に記載の前記方法。
  12. サンプリングのための入力値の前記特定のセットが、
    直交多項式の前記適合次数の前記数を定義するステップと、
    パラメータの前記数を獲得するステップと、
    前記直交多項式の前記より高い確率の根を選択するステップと、
    前記より高い確率の根を前記最下位レベルシステムのサンプリングのための入力値の前記特定のセットに変換するステップと、を通して決定される、請求項11に記載の前記方法。
  13. 前記最下位レベルシステムが、トランジスタデバイス、論理ゲートデバイス、およびシステムオンチップ回路の1つである、請求項12に記載の前記方法。
  14. 前記最下位レベルシステムが、論理ゲートであり、前記階層的により抽象的なバージョンが、システムオンチップデバイスを含む、請求項13に記載の前記方法。
  15. 複数の独立標準化変数を含むように前記最下位レベルSAPモデルを定義するステップと、
    前記パラメータの1次結合の優位ベクトルから1つまたは複数の追加のSAPモデルを再構築するステップと、
    前記SAPモデルと前記追加のSAPモデルの間の前記差を最小化することによって、ベクトル選択を最適化するステップであって、前記追加のSAPモデルが、前記SAPモデルよりも少ない独立変数を使用して、前記SAPモデルの近似挙動特性を表すステップと、をさらに含む、請求項9に記載の前記方法。
  16. 事前定義回路の1つまたは複数のパラメータの入力分布と、前記パラメータの間の相互相関とを受け取る手段と、
    標準化独立パラメータセットを生成するために、前記パラメータ分布を正規化し、前記相互相関を分解する手段と、
    前記標準化独立パラメータセットに基づいて、前記事前定義回路のサンプリングのための入力値の特定のセットを生成する手段と、
    事前定義回路出力値を生成するために、入力値の前記特定のセット上で、事前定義回路サンプリングを実行する手段と、
    SAPモデルを生成するために、入力値の前記特定のセット、前記事前定義回路出力値、および前記標準化独立パラメータセット上で、直交多項式適合を実行する手段と、
    前記事前定義回路の出力分布を生成するために、前記SAPモデルを使用する手段と、を含むシステム。
  17. 前記出力が、前記入力パラメータの前記変動に基づいた前記事前定義回路の前記出力分布の統計的表現である、請求項16に記載の前記システム。
  18. 直交多項式の前記適合次数の前記数を定義する手段と、
    パラメータの前記数を獲得する手段と、
    前記直交多項式の前記より高い確率の根を選択する手段と、
    前記より高い確率の根を前記事前定義回路のサンプリングのための入力値の前記特定のセットに変換する手段と、をさらに含む、請求項17に記載の前記システム。
  19. 前記事前定義回路が、トランジスタデバイス、論理ゲートデバイス、およびシステムオンチップ回路の1つであり、前記SAPモデルが、前記事前定義回路のもう1つのブロックを含む、前記事前定義回路の階層的により抽象的なバージョンの前記性能をシミュレートする、請求項18に記載の前記システム。
  20. 複数の独立標準化変数を含むように前記SAPモデルを定義する手段と、
    前記パラメータの1次結合の優位ベクトルから1つまたは複数の追加のSAPモデルを再構築する手段と、
    前記SAPモデルと前記追加のSAPモデルの前記差を最小化することによって、ベクトル選択を最適化する手段であって、前記追加のSAPモデルが、前記SAPモデルよりも少ない独立変数を使用して、前記SAPモデルの近似挙動特性を表す手段と、をさらに含む、請求項19に記載の前記システム。
JP2007545725A 2004-12-10 2005-12-12 集積回路設計および製造のための確率解析プロセス最適化 Pending JP2008523516A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63487204P 2004-12-10 2004-12-10
US69337305P 2005-06-22 2005-06-22
PCT/US2005/045109 WO2006063359A2 (en) 2004-12-10 2005-12-12 Stochastic analysis process optimization for integrated circuit design and manufacture

Publications (1)

Publication Number Publication Date
JP2008523516A true JP2008523516A (ja) 2008-07-03

Family

ID=36578678

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007545725A Pending JP2008523516A (ja) 2004-12-10 2005-12-12 集積回路設計および製造のための確率解析プロセス最適化

Country Status (4)

Country Link
US (1) US7243320B2 (ja)
EP (1) EP1836626A2 (ja)
JP (1) JP2008523516A (ja)
WO (1) WO2006063359A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012043093A (ja) * 2010-08-17 2012-03-01 Fujitsu Ltd 回路性能見積装置、回路性能見積方法及び回路性能見積プログラム
CN108345749A (zh) * 2018-02-11 2018-07-31 中国电子科技集团公司第二十九研究所 射频集成工艺容差与电性能耦合特性的建模与封装方法

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7680688B2 (en) * 2002-05-28 2010-03-16 American Express Travel Related Services Company, Inc. System and method for exchanging loyalty points for acquisitions
US7735048B1 (en) * 2003-11-24 2010-06-08 Cadence Design Systems, Inc. Achieving fast parasitic closure in a radio frequency integrated circuit synthesis flow
KR100618822B1 (ko) * 2004-03-13 2006-08-31 삼성전자주식회사 변수 소거법을 이용하는 전력 분배 네트워크 시뮬레이션방법
US7400167B2 (en) * 2005-08-16 2008-07-15 Altera Corporation Apparatus and methods for optimizing the performance of programmable logic devices
US7458058B2 (en) * 2005-06-10 2008-11-25 Texas Instruments Incorporated Verifying a process margin of a mask pattern using intermediate stage models
JP4335862B2 (ja) * 2005-11-08 2009-09-30 富士通マイクロエレクトロニクス株式会社 半導体集積回路の特性抽出方法及び特性抽出装置
US7546562B1 (en) * 2005-11-12 2009-06-09 Cadence Design Systems, Inc. Physical integrated circuit design with uncertain design conditions
US20070124148A1 (en) * 2005-11-28 2007-05-31 Canon Kabushiki Kaisha Speech processing apparatus and speech processing method
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
WO2007066319A1 (en) * 2005-12-08 2007-06-14 Mentor Graphics Corporation Conversion of switching signals of a circuit simulation into a transaction model
US20070136705A1 (en) * 2005-12-09 2007-06-14 Fujitsu Limited Timing analysis method and device
JP2007183932A (ja) * 2005-12-09 2007-07-19 Fujitsu Ltd タイミング解析方法及びタイミング解析装置
US20070180411A1 (en) * 2006-01-27 2007-08-02 Wolfgang Swegat Method and apparatus for comparing semiconductor-related technical systems characterized by statistical data
JP4644142B2 (ja) * 2006-02-24 2011-03-02 富士通セミコンダクター株式会社 クリティカルパス推定プログラム、推定装置、推定方法、および集積回路設計プログラム。
JP4734141B2 (ja) * 2006-02-28 2011-07-27 富士通株式会社 遅延解析プログラム、該プログラムを記録した記録媒体、遅延解析方法、および遅延解析装置
US7380225B2 (en) * 2006-03-14 2008-05-27 International Business Machines Corporation Method and computer program for efficient cell failure rate estimation in cell arrays
JP5395321B2 (ja) * 2006-08-25 2014-01-22 富士通株式会社 Lsi品種決定方法、lsi品種決定プログラムおよびlsi品種決定装置
US7890306B2 (en) * 2006-09-11 2011-02-15 Raytheon Company Optimal methodology for allocation and flowdown
US8050901B2 (en) * 2006-09-14 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Prediction and control of NBTI of integrated circuits
US7594210B2 (en) * 2006-11-16 2009-09-22 Clk Design Automation, Inc. Timing variation characterization
US7793243B1 (en) 2006-12-04 2010-09-07 Clk Design Automation, Inc. Multi-engine static analysis
US7487477B2 (en) * 2006-12-15 2009-02-03 International Business Machines Corporation Parametric-based semiconductor design
US7865856B1 (en) * 2007-03-12 2011-01-04 Tela Innovations, Inc. System and method for performing transistor-level static performance analysis using cell-level static analysis tools
US7636647B2 (en) * 2007-03-20 2009-12-22 Raytheon Company Efficient design process for the allocation of variability to non-normally distributed components of complex systems and for the estimation of the system response probability density
TW200921445A (en) * 2007-11-08 2009-05-16 Airoha Tech Corp Circuit analysis method
US8352384B2 (en) * 2008-03-04 2013-01-08 Massachusetts Institute Of Technology Combinational stochastic logic
US8122406B2 (en) * 2008-10-27 2012-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Generating models for integrated circuits with sensitivity-based minimum change to existing models
US7987440B2 (en) * 2009-01-12 2011-07-26 International Business Machines Corporation Method and system for efficient validation of clock skews during hierarchical static timing analysis
JP5375429B2 (ja) * 2009-02-12 2013-12-25 富士通株式会社 設計支援装置、方法、及びプログラム
US8214190B2 (en) * 2009-04-13 2012-07-03 International Business Machines Corporation Methodology for correlated memory fail estimations
JP5244686B2 (ja) 2009-04-24 2013-07-24 株式会社東芝 監視装置およびサーバー
US8365118B2 (en) * 2009-06-03 2013-01-29 International Business Machines Corporation Broken-spheres methodology for improved failure probability analysis in multi-fail regions
JP5402351B2 (ja) * 2009-07-24 2014-01-29 富士通株式会社 多目的最適化設計支援装置、方法、及びプログラム
US8423328B2 (en) * 2009-09-30 2013-04-16 International Business Machines Corporation Method of distributing a random variable using statistically correct spatial interpolation continuously with spatially inhomogeneous statistical correlation versus distance, standard deviation, and mean
US8645286B2 (en) 2010-02-23 2014-02-04 Prior Knowledge, Inc. Configurable circuitry for solving stochastic problems
CN101834586A (zh) * 2010-04-21 2010-09-15 四川和芯微电子股份有限公司 随机信号产生电路及方法
US20120046929A1 (en) * 2010-08-20 2012-02-23 International Business Machines Corporation Statistical Design with Importance Sampling Reuse
US8805659B2 (en) * 2011-02-17 2014-08-12 Chevron U.S.A. Inc. System and method for uncertainty quantification in reservoir simulation
US8682946B1 (en) * 2011-05-26 2014-03-25 Kla-Tencor Corporation Robust peak finder for sampled data
DE102011078240A1 (de) * 2011-06-28 2013-01-03 Siemens Aktiengesellschaft Leckageerkennung mittels stochastischer Massenbilanz
US8458632B2 (en) 2011-08-03 2013-06-04 International Business Machines Corporation Efficient slack projection for truncated distributions
US9268885B1 (en) * 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8819605B1 (en) * 2013-03-06 2014-08-26 Oracle International Corporation Deriving effective corners for complex correlations
US8745567B1 (en) * 2013-03-14 2014-06-03 Atrenta, Inc. Efficient apparatus and method for analysis of RTL structures that cause physical congestion
US9081919B2 (en) * 2013-03-15 2015-07-14 Globalfoundries Singapore Pte. Ltd. Design-for-manufacturing—design-enabled-manufacturing (DFM-DEM) proactive integrated manufacturing flow
US8972917B1 (en) * 2013-09-26 2015-03-03 International Business Machines Corporation System and method for generating a field effect transistor corner model
KR102398596B1 (ko) 2015-06-15 2022-05-16 삼성전자주식회사 집적 회로의 수율 예측 방법 및 집적 회로의 설계 최적화 방법
US9928131B2 (en) 2015-12-17 2018-03-27 General Electric Company System and method for detection of rare failure events
US20170235856A1 (en) * 2016-02-11 2017-08-17 International Business Machines Corporation Formal verification driven power modeling and design verification
US10169507B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Variation-aware circuit simulation
CN110717272B (zh) * 2019-10-11 2023-06-09 内蒙古第一机械集团股份有限公司 材料成型与改性工艺的耦合仿真方法
US11586786B2 (en) 2019-11-08 2023-02-21 Raytheon Technologies Corporation Process for gas turbine engine component design
CN111709213B (zh) * 2020-06-18 2023-04-14 成都微光集电科技有限公司 标准单元库设计检查方法和系统以及可读存储介质
JP2023069703A (ja) * 2021-11-08 2023-05-18 株式会社レゾナック 設計支援装置、設計支援方法及び設計支援プログラム

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020073394A1 (en) * 1999-12-07 2002-06-13 Milor Linda Susan Methodology for increasing yield, manufacturability, and performance of integrated circuits through correction of photolithographic masks
GB0407070D0 (en) * 2004-03-30 2004-05-05 Imec Inter Uni Micro Electr A method for designing digital circuits, especially suited for deep submicron technologies

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012043093A (ja) * 2010-08-17 2012-03-01 Fujitsu Ltd 回路性能見積装置、回路性能見積方法及び回路性能見積プログラム
CN108345749A (zh) * 2018-02-11 2018-07-31 中国电子科技集团公司第二十九研究所 射频集成工艺容差与电性能耦合特性的建模与封装方法

Also Published As

Publication number Publication date
US7243320B2 (en) 2007-07-10
WO2006063359A3 (en) 2007-04-12
WO2006063359A2 (en) 2006-06-15
EP1836626A2 (en) 2007-09-26
US20060150129A1 (en) 2006-07-06

Similar Documents

Publication Publication Date Title
JP2008523516A (ja) 集積回路設計および製造のための確率解析プロセス最適化
US8005660B2 (en) Hierarchical stochastic analysis process optimization for integrated circuit design and manufacture
US8924906B2 (en) Determining a design attribute by estimation and by calibration of estimated value
US7761275B2 (en) Synthesizing current source driver model for analysis of cell characteristics
US8781792B2 (en) Yield computation and optimization for selective voltage binning
US9483602B2 (en) Method and system for identifying rare-event failure rates
US8180621B2 (en) Parametric perturbations of performance metrics for integrated circuits
Mutlu et al. Statistical methods for the estimation of process variation effects on circuit operation
Maricau et al. Efficient variability-aware NBTI and hot carrier circuit reliability analysis
US10713405B2 (en) Parameter generation for semiconductor device trapped-charge modeling
Bounceur et al. Estimation of analog parametric test metrics using copulas
US10789406B1 (en) Characterizing electronic component parameters including on-chip variations and moments
US10747916B2 (en) Parameter generation for modeling of process-induced semiconductor device variation
US7630852B1 (en) Method of evaluating integrated circuit system performance using orthogonal polynomials
CN115688641A (zh) 一种表征标准单元片上变化参数的方法与系统
Kumar et al. A probabilistic collocation method based statistical gate delay model considering process variations and multiple input switching
Orshansky et al. Direct sampling methodology for statistical analysis of scaled CMOS technologies
US20040073879A1 (en) Modeling devices in consideration of process fluctuations
Severo et al. Automatic synthesis of analog integrated circuits including efficient yield optimization
Brusamarello et al. Fast and accurate statistical characterization of standard cell libraries
Viraraghavan et al. Statistical compact model extraction: A neural network approach
JP2023513754A (ja) リーク電流に統計的ばらつきをもつダイナミックランダムアクセスメモリパストランジスタの設計
Yu et al. Statistical static timing analysis considering process variation model uncertainty
Li et al. A yield-enhanced global optimization methodology for analog circuit based on extreme value theory
Lewis et al. Spatial timing analysis with exact propagation of delay and application to Fpga performance

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100413