JP2008511988A - 仮想モジュールを用いた半導体処理方法 - Google Patents

仮想モジュールを用いた半導体処理方法 Download PDF

Info

Publication number
JP2008511988A
JP2008511988A JP2007529851A JP2007529851A JP2008511988A JP 2008511988 A JP2008511988 A JP 2008511988A JP 2007529851 A JP2007529851 A JP 2007529851A JP 2007529851 A JP2007529851 A JP 2007529851A JP 2008511988 A JP2008511988 A JP 2008511988A
Authority
JP
Japan
Prior art keywords
module
virtual module
access
wafer
accessed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007529851A
Other languages
English (en)
Other versions
JP2008511988A5 (ja
JP4969446B2 (ja
Inventor
メリット・ファンク
ウェズリー・ナツール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008511988A publication Critical patent/JP2008511988A/ja
Publication of JP2008511988A5 publication Critical patent/JP2008511988A5/ja
Application granted granted Critical
Publication of JP4969446B2 publication Critical patent/JP4969446B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q50/00Systems or methods specially adapted for specific business sectors, e.g. utilities or tourism
    • G06Q50/04Manufacturing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q50/00Systems or methods specially adapted for specific business sectors, e.g. utilities or tourism
    • G06Q50/10Services
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/10Efficient use of energy, e.g. using compressed air or pressurized fluid as energy carrier
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Abstract

本発明は半導体プロセスシステムの制御に関する。特に本発明は、半導体ウエハの処理中にマルチチャンバツールによって実施されるマルチパスプロセスを制御するための仮想モジュールを作成するラン・ツウ・ラン・コントローラに関する。

Description

このPCT出願は、2004年8月27日に出願された米国通常特許出願(Non-Provisional Patent Application)第10/927,500号を基礎とし、これを優先権の拠り所とするものであり、その内容全体を文献の援用によって本願明細書に組み込んだものとする。
本発明は半導体ウエハ処理のためにプロセスモデルを開発することに関する。特に本発明は、半導体ウエハの処理中にマルチチャンバツールによって実施されるマルチパスプロセスを制御するための仮想モジュールを作成するラン・ツウ・ラン(run−to−run)コントローラに関する。
半導体産業で使用されるプロセスツールは、多数の関連プロセスモジュールを有する複雑なプロセスシステムへと開発が進められてきた。一部にはこの開発動向のため、ツール制御システムとファクトリ制御システムの間のライン分割がどんどん曖昧になってきている。ファクトリシステムにより、ツール制御システムが特定のプロセスシーケンスセグメントを制御できるようになる。これにより、制御調節のための新しい機会が生まれ、ロット・トゥ・ロットベースまたはウエハ・トゥ・ウエハベースでもプロセスシーケンスのセグメントを最適化することができるが、新しい課題が生じることもある。ファクトリ制御システムがウエハの制御をツールプラットフォームに譲ると、ウエハに対して実際に何が起きているかという直接的な知識も同じプラットフォームに渡さなくてはならない。その結果、ツールプラットフォームとファクトリ制御システムを統合するための新しいアーキテクチャを作成する必要がある。新アーキテクチャは、ツールプラットフォームが、レシピ、測定データ、イベント、例外等、ウエハに起こったことを報告することを要求する。また、新アーキテクチャは、プロセス限界、許容条件および例外に対する所要応答など、ツールプラットフォームが従うべきフレームワークのセットアップをファクトリシステムに要求しなければならない。
また、マルチモジュールツールは、国際半導体製造装置材料協会(SEMI)規格に忠実なファクトリシステムと連動する必要がある。
ファクトリシステムに報告を要する、特定モジュールで実施された複数のイベントのデータは、その後ウエハが同一モジュールにアクセス(visit)したときにツールプラットフォームのコントローラまたはファクトリシステムによって書き込むことができる。この構成の限界の1つは、物理的モジュールが複数回使用されるために、レシピと物理的モジュールとを関連させられないことである。また、物理的モジュールがさまざまな操作を実施する場合もある。
この問題を解決する方法の1つは、マルチチャンバツールの同一モジュールへの複数のパスをファクトリおよびツール情報システムによって処理する方法を見つけることである。各ウエハ(ウエハサンプリング)毎に異なるかもしれないルーティングを確立しおよび/またはツール(再びウエハサンプリングを含む)によって決められた動的ルーティングを確立するという課題を有するマルチチャンバシステムの場合は副次的な解決策が必要である。特に、各モジュールがウエハを別々にサンプリングするとき、ウエハが物理的モジュールを複数回通過できるとき、およびツールがシーケンスまたはサンプリングを変更可能であるときに機能できる情報システムアーキテクチャの必要性が高まっている。
半導体処理では、半導体製造施設による半導体集積回路の製造(fabs)にフィードフォワードコントローラを使用できることが定着している。最近まで、ウエハはバッチまたはロットとして扱われ、ロット内の各ウエハに同一処理が施されていた。ロットサイズはファブ(fab)の製造慣行に応じて変化するが、一般に最大ウエハ枚数25枚に限定されている。ロット内の数枚のウエハに対して定期的に測定がなされ、これらのサンプル測定値に基づいて処理の調整が施されていた。現ロットのサンプル測定値と後続ロットに対するプロセスレシピ調整とに基づく制御方法は、ロット・トゥ・ロット(L2L)制御と呼ばれている。L2L制御の場合にプロセスレシピの修正に必要なプロセスモデルおよび情報は格納され、計算はファブレベルで行なわれていた。最近、半導体処理装置(SPE)の製造業者は処理が実施される前後に各ウエハを速やかに測定する機能を導入している。プロセスツール上の各ウエハを測定する機能は装置組込み型計測(IM)と呼ばれている。また、IMは、ウエハ・トゥ・ウエハ(W2W)レベルの測定およびプロセスレシピ調整機能を可能にした。大量のデータが収集され、ウエハの測定と次の処理との間の時間が短いため、ファブレベルではなくて、ツールでウエハ・トゥ・ウエハ(W2W)制御を実施する機能を提供することが必要であろう。
本発明の一実施形態は、ホストシステムとプロセスシステムとを含む半導体プロセスシステムのプロセスシステムコントローラを操作する方法を提供する。この方法は静的仮想モジュールプラン(SVMP)を受け取る工程を含み、SVMPは少なくとも1つのウエハの所望処理結果および少なくとも1つのウエハのプロセスシーケンスを含み、プロセスシーケンスは(N個の)仮想モジュール(VM)オブジェクトを含んでいる。第1の個数(Nact)のVMオブジェクトはアクセスされた仮想モジュール(visited virtual module)(VVM)オブジェクトであり、第2の個数(Nnon)のVMオブジェクトは非アクセスの(non−visited)仮想モジュール(NVVM)オブジェクトである。VVMオブジェクトはウエハによる物理的モジュールへのアクセスに関連させることができ、NVVMオブジェクトはウエハによる物理的モジュールへの非アクセスに関連させることができる。この方法は、プロセスシーケンスを実行する工程、プロセスシーケンスのVVMオブジェクトが実行されているときに、アクセスされた仮想モジュール(VVM)データを収集する工程、およびプロセスシーケンスのNVVMオブジェクトが実行されているときに非アクセス仮想モジュール(NVVM)データを収集する工程も含んでいる。
別の実施形態は、ホストシステムとプロセスシステムとを含む半導体プロセスシステムのホストコントローラを操作する方法を提供する。この方法は静的仮想モジュールプラン(SVMP)を作成する工程を含み、SVMPは少なくとも1つのウエハの所望処理結果および少なくとも1つのウエハのプロセスシーケンスを含む。このプロセスシーケンスは(N個の)仮想モジュール(VM)オブジェクトを含んでいる。第1の個数(Nact)のVMオブジェクトはアクセスされた仮想モジュール(VVM)であり、第2の個数(Nnon)のプロセスオブジェクトは非アクセス仮想モジュール(NVVM)オブジェクトである。VVMオブジェクトはウエハによる物理的モジュールへのアクセスに関連させることができ、NVVMオブジェクトはウエハによる物理的モジュールへの非アクセスに関連させることができる。この方法はSVMPをプロセスシステムへ送る工程も含んでいる。
別の実施形態は、ホストシステムとプロセスシステムとを含む半導体プロセスシステムのプロセスシステムコントローラを操作する別の方法を提供する。この方法は、ホストシステムから少なくとも1つのウエハの所望のプロセス結果を受け取る工程と、動的仮想モジュールプラン(DVMP)を作成する工程とを含む。DVMPは、少なくとも1つのウエハのプロセスシーケンスを含む。プロセスシーケンスは少なくとも1つのウエハの所望結果を達成するために作成される。プロセスシーケンスは(N個の)仮想モジュール(VM)オブジェクトを含むことができる。第1の個数(Nact)のVMオブジェクトはアクセスされた仮想モジュール(VVM)オブジェクトであり、第2の個数(Nnon)のVMオブジェクトは非アクセス仮想モジュール(NVVM)オブジェクトである。VVMオブジェクトはウエハによる物理的モジュールへのアクセスに関連させることができ、NVVMオブジェクトはウエハによる物理的モジュールへの非アクセスに関連させることができる。この方法はDVMPをホストシステムへ送る工程も含んでいる。
別の実施形態は、ホストシステムとプロセスシステムとを含む半導体プロセスシステムのホストコントローラを操作する別の方法を提供する。この方法は、プロセスシステムからの動的仮想モジュールプラン(DVMP)をホストシステムによって受け取る工程を含んでいる。DVMPは、少なくとも1つのウエハのためのプロセスシーケンスを含むことができる。このプロセスシーケンスは、少なくとも1つのウエハの所望のプロセス結果を達成するために作成することができる。プロセスシーケンスは(N個の)仮想モジュール(VM)オブジェクトを含むことができ、(Nact)個のVMオブジェクトはアクセスされた仮想モジュール(VVM)オブジェクトであり、(Nnon)個のVMオブジェクトは非アクセス仮想モジュール(NVVM)オブジェクトである。VVMオブジェクトは少なくとも1つのウエハによる物理的モジュールへのアクセスに関連させることができ、NVVMオブジェクトは少なくとも1つのウエハによる物理的モジュールへの非アクセスに関連させることができる。この方法はDVMPを実行する工程も含むことができる。
本発明の他の態様は、以下の説明および本願明細書に添付されている図面から明らかになるであろう。本発明の範囲は、説明されている態様および記載されている実施形態にのみ限定されるものではなく、そのありとあらゆる均等物にまで及ぶ。
本発明のさまざまな実施形態およびそれに伴う利点のより完全な理解は、以下の詳細な説明を参照し、特に添付の図面と組み合わせて考えればすぐに明らかになるであろう。
図1は本発明の実施形態にしたがうプロセスシステムの例示的なブロック図を示す。図示の実施形態では、プロセスシステム100は、プロセスツール110と、このプロセスツールに接続されたコントローラ120と、コントローラ120および処理ツール110に接続されている製造装置システム(MES)130とを備えている。また、プロセスツール110、コントローラ120およびMES130のうちの少なくとも1つは、グラフィカルユーザインタフェース(GUI)コンポーネントおよび/またはデータベースコンポーネント(図示せず)を備えることができる。別の実施形態では、GUIコンポーネントおよび/またはデータベースコンポーネントは不要である。
セットアップおよび/または機器構成情報の中には、プロセスツール110および/またはコントローラ120によってファクトリシステム130から入手できるものもある。制御階層を確立するために、ファクトリレベルのビジネスルールを用いることができる。例えば、プロセスツール110および/またはコントローラ120は独立に動作可能であってもよいし、ファクトリシステム130によってある程度まで制御されてもよい。また、いつプロセスを一時停止および/または停止するか、また、プロセスが一時停止および/または停止されているという判断を受けて何を行なうかを決めるために、ファクトリレベルのビジネスルールを用いることができる。さらに、プロセスをいつ変更するか、プロセスをどのように変更するかを決めるためにファクトリレベルのビジネスルールを用いることができる。
正常な処理の場合に行なわれる操作および例外的な状態のときに行なわれる操作を指定するためにもビジネスルールを用いることができる。この操作として、(a)初期モデルローディング、(b)プレエッチング計測データフィルタリング、(c)コントローラレシピ選択、(d)ポストエッチング計測データフィルタリング、(e)フィードバック計算、(f)モデル更新などが掲げられる。
ビジネスルールは、制御ストラテジレベル、制御プランレベル、または制御モデルレベルで定義できる。ビジネスルールは、特定のコンテキストが生じたときに実行するように割り当てることができる。高いレベルと低いレベルでまったく同じコンテキストが生じた場合、高いレベルに対応するビジネスルールを実行させることができる。ビジネスルールを定義および保守するためにGUI画面を用いることができる。ビジネスルールの定義ならびに割当ては、通常のセキュリティレベルより高いセキュリティレベルでユーザに許可することができる。ビジネスルールはデータベース内で保守できる。ビジネスルールをどのように定義、割当ておよび保守するかに関するドキュメンテーションおよびヘルプ画面を用意することができる。
プロセスツール110および/またはコントローラ120に対応するデータベースから報告されるデータを用いて、MES130はいくつかのシステムプロセスを監視できる。どのプロセスを監視してどのデータを使用するかを決めるためにファクトリレベルのビジネスルールを用いることができる。例えば、プロセスツール110および/またはコントローラ120が独立にデータを収集することもできるし、ファクトリシステム130によってデータ収集プロセスをある程度まで制御することもできる。また、プロセスの変更、一時停止および/または停止時にデータをどのように処理するかを決めるためにファクトリレベルのビジネスルールを用いることもできる。
また、MES130はランタイム設定情報をプロセスツール110および/またはコントローラ120に提供できる。例えば、自動プロセス制御(APC)設定、目標、制限、ルールおよびアルゴリズムをランタイム時に「APCレシピ」、「APCシステムルール」および「APCレシピパラメータ」としてファクトリからプロセスツール110および/またはコントローラ120へダウンロードできる。
セットアップおよび/または構成情報の中には、システム100による初期設定時にプロセスツール110および/またはコントローラ120によって決定できるものもある。制御階層を確立するために、システムレベルのビジネスルール(システムルール)を用いることができる。例えば、プロセスツール110および/またはコントローラ120が独立に動作可能であってよいし、プロセスツール110がコントローラ120によってある程度まで制御されてもよい。また、いつプロセスを一時停止および/または停止するか、プロセスを一時停止および/または停止するときに何を行なうかを決めるためにシステムルールを用いることができる。さらに、プロセスをいつ変更するか、プロセスをどのように変更するかを決めるためにシステムルールを用いることができる。また、コントローラ120は、いくつかのツールレベルの動作を制御するためにツールレベルルールを用いることができる。
一般に、ルールによって、プロセスシステムの動的状態に基づいたシステムおよび/またはツール動作の変更が可能となる。
図1には、1つのプロセスツール110と1つのコントローラ120が示されているが、これは本発明の要件ではないことを理解されたい。半導体プロセスシステム100は、独立したプロセスツール110およびモジュールのほかに、プロセスツール110およびプロセスツールと組み合わされたコントローラ120をいくつでも備えることもできる。
任意の個数の独立したプロセスツールおよびモジュール110に加え、それらと関連する任意の個数のプロセスツール110を有する任意の個数のプロセスツール110を構成するためにプロセスツール110および/またはコントローラ120を用いることができる。プロセスツール110および/またはコントローラ120は、プロセスツール、プロセスサブシステム、プロセスモジュールおよびセンサに関するプロセスのデータを収集、提供、処理、格納および表示することができる。
プロセスツール110および/またはコントローラ120は、(a)少なくとも1つのツール関係アプリケーション;(b)少なくとも1つのモジュール関係アプリケーション、(c)少なくとも1つのセンサ関係アプリケーション、(d)少なくとも1つのインタフェース関係アプリケーション、(e)少なくとも1つのデータベース関係アプリケーション、(f)少なくとも1つのGUI関係アプリケーション、および(g)少なくとも1つの構成アプリケーションなど、多数のアプリケーションを備えることができる。
例えば、システム100は、ユニティツール(Unity Tool)、テリウスツール(Telius Tool)、および/またはトリアスツール(Trias
Tool)ならびにその関連のプロセスサブシステムおよびプロセスモジュールを含むことができる、東京エレクトロン株式会社(Tokyo Electron Limited)製の自動プロセス制御(APC)システムを備えることができる。また、このシステムは、東京エレクトロン株式会社製のIngenio
TL ESサーバおよび東京エレクトロン株式会社製の装置組込み型計測モジュール(IMM:integlated
metrology module)などのラン・ツウ・ラン(R2R)コントローラを備えることができる。あるいは、コントローラ120は他のプロセスツールおよび他のプロセスモジュールを支援することもできる。
GUIコンポーネント(図示せず)は使いやすいインタフェースを提供することができる。このインタフェースによってユーザができるようになることは、(a)ツールの状態およびプロセスモジュールの状態を見ること、(b)選択されたウエハのサマリおよび生(トレース)パラメトリックデータのx−yチャートを作成および編集すること、(c)ツールアラームログを見ること、(d)データベースまたは出力ファイルにデータを書き込むための条件を指定するデータ収集プランを設定すること、(e)ファイルを統計的プロセス制御(SPC)チャーティング、モデリングおよびスプレッドシートプログラムへ入力すること、(f)特定ウエハに関するウエハ処理情報を調べ、データベースに現在保存されているデータを見直すこと、(g)プロセスパラメータのSPCチャートを作成および編集し、eメール警告を発生するSPCアラームを設定すること、(h)多変量PCAおよび/またはPLSモデルを実行すること、および(i)コントローラ120に関連する問題を追跡および報告するために診断画面を見ること、である。
ツールからの生データおよびトレースデータは、ファイルとしてデータベースに格納できる。また、IMデータおよびホスト計測データはデータベースに格納できる。データ量は、設定されるデータ収集プランならびにプロセスが実施されたりプロセスツールが実行されたりする頻度によって異なる。プロセスツール、プロセスチャンバ、センサおよびオペレーティングシステムから得られるデータはデータベースに格納できる。
別の実施形態において、システム100はクライアントワークステーション(図示せず)を備えることができる。システム100は複数のクライアントワークステーションをサポートできる。クライアントワークステーションはユーザが、(a)ツール、コントローラ、プロセスおよびファクトリステータスの閲覧;(b)現在および履歴データの閲覧;(C)モデリングおよびチャーティング機能の実施;および(d)コントローラへのデータ入力、をするための構成手順を実施できるようにする。例えば、コントローラによって実施される1つまたはそれより多いプロセスをユーザが制御できるようにする管理者権限がユーザに与えられてもよい。
プロセスツール110およびコントローラ120はMES130に接続でき、E診断システムの一部とすることができる。プロセスツール110および/またはコントローラ120はファクトリシステムと情報交換することができる。また、MES130は、コマンドおよび/またはオーバライド情報をプロセスツール110および/またはコントローラ120に送信できる。例えば、MES130は、任意の数のプロセスモジュール、ツールおよび測定デバイスのダウンロード可能レシピを、各レシピの可変パラメータと一緒に、プロセスツール110および/またはコントローラ120にフィードフォワードすることができる。可変パラメータとして、ロット毎に調節可能であることを要するツールレベルシステムにおける最終限界寸法(CD)目標、限界、オフセット、変数などが掲げられる。また、ファクトリリソCD計測データをコントローラ120にフィードフォワードすることもできる。
また、限界寸法走査電子顕微鏡(CD SEM)情報などの測定データをコントローラ120に供給するためにMES130を用いることができる。なお、CD
SEM情報は手作業で供給することもできる。IMおよびCD SEM測定値間のずれを調整するために調整係数が用いられる。CD SEMデータの手動および自動入力は、R2Rコントローラ」のフィードバック(FB)制御ループの履歴に適切に挿入するための、日付などのタイムスタンプを含む。
設定可能項目は、汎用モデルSEMI機器通信規格(SEMGEM SECS)通信プロトコルを使用してファクトリシステムから送られる可変パラメータセットとして設定することができる。例えば、可変パラメータを「APCレシピ」の一部として送ることができる。APCレシピは1つ以上のサブレシピを含むこともでき、各サブレシピは可変パラメータを含むことができる。
前述のように、1つのプロセスツール110が図1に示されているが、これは本発明の要件ではない。追加のプロセスツールも使用できる。一実施形態において、プロセスツール110は1つまたはそれより多いプロセスモジュールを備えることができる。プロセスツール110は、例えば、エッチングモジュール、成膜モジュール、ポリッシングモジュール、コーティングモジュール、現像モジュール、および熱処理モジュール等のうちの少なくとも1つを備えることができる。
プロセスツール110は、少なくとも1つの他のプロセスツールおよび/またはコントローラに接続するためのリンク112、114を備えることができる。例えば、他のプロセスツールおよび/またはコントローラを、前に実施されていたプロセスと関連させることができ、および/または他のコントローラを後ろから実施されるプロセスと関連させることができる。リンク112、114は、情報をフィードフォワードおよび/またはフィードバックするために用いることができる。例えば、フィードフォワード情報は、入っているウエハに対応するデータを含むことができる。このデータは、ロットデータ、バッチデータ、ランデータ、組成データおよびウエハ履歴データを含むことができる。データは、ウエハの入力状態を設定するために使用できるプリプロセスデータを含むことができる。プリプロセスデータの第1の部分をコントローラ120に供給し、プリプロセスデータの第2の部分をプロセスツール110に供給できる。あるいは、この2つの部分は同じデータを備えることもできる。
プロセスツール110は、単一の統合計測モジュール(IMM)デバイス(図示せず)または複数の測定デバイスを備えることができる。システム100は、モジュール関連測定デバイス、ツール関連測定デバイスおよび外部測定デバイスを含むことができる。例えば、1つまたはそれより多いモジュールに接続されたセンサおよびプロセスツールに接続されたセンサからデータを得ることができる。また、SEMツールおよび光学デジタルプロファイリング(ODP)ツールなどの外部デバイスからデータを得ることができる。ODPツールは、半導体装置の特徴のプロファイルを測定するための特許技術を提供するティンバーテクノロジーズ社(東京エレクトロン株式会社)から入手できる。例えば、ODP技術は、限界寸法(CD)情報、フィーチャプロファイル情報またはバイア(via)プロファイル情報を入手するために用いることができる。
コントローラ120はプロセスツール110およびMES130に接続され、プレプロセスデータおよびポストプロセスデータなどの情報はこれらの間で交換可能である。例えば、内部リセットイベントがツール110から発生した場合、コントローラ120はアラームなどのメッセージをMES130へ送ることができる。これにより、修理保守または予防保守中に発生するものなど、大きな変化が生じた後に危険にさらされるウエハの数を最小にするのに必要な変更をファクトリシステムおよび/またはファクトリ従業員が行なえるようになる。
図1には、ただ1つのコントローラ120も示されているが、これは本発明の要件ではなく、追加のコントローラを使用することもできる。例えば、コントローラ120は、少なくとも1つのラン・ツウ・ラン(R2R)コントローラ、フィードフォワード(FF)コントローラ、プロセスモデルコントローラ、フィードバック(FB)コントローラまたはプロセスコントローラ、またはこれらの2つまたはそれより多い組合せ(いずれも図1には図示せず)を備えることができる。
コントローラ120は、少なくとも1つの他のコントローラ120に接続するためのリンク122、124を備えることができる。例えば、他のプロコントローラを、前に実施されていたプロセスと関連させることができ、および/または他のコントローラを後から実施されるプロセスと関連させることができる。リンク122、124は、情報をフィードフォワードおよび/またはフィードバックするために用いることができる。
コントローラ120は受入材料の測定限界寸法(入力状態)と目標限界寸法(所望状態)の差を用いて、入力状態から所望状態へウエハの状態を変化させて所望の結果を得るためのプロセスパラメータセットを予測、選択、または計算できる。例えば、この予測プロセスパラメータセットを、入力状態と所望状態に基づいて使用するためのレシピの第1の推定とすることができる。一実施形態において、入力状態のデータおよび/または所望状態のデータなどのデータはホストから得ることができる。
一例において、コントローラ120はこのウエハの入力状態と所望状態のモデル方程式を把握しており、コントローラ120は、入力状態から所望状態へウエハを変化させるためにウエハに実施できるレシピセットを決める。例えば、レシピセットはプロセスモジュールセットを含むマルチステッププロセスを記述できる。
コントローラ120の時定数は、測定間の時間に基づくものとすることができる。ロット完成後に測定データが得られる場合、コントローラの時定数はロット間の時間に基づくものとすることができる。ウエハ完成後に測定データが得られる場合、コントローラの時定数はウエハ間の時間に基づくものとすることができる。プロセス中にリアルタイムで測定データが供給される場合、コントローラの時定数はウエハ内の処理ステップに基づくものとすることができる。ウエハの加工中またはウエハの完成後またはロットの完成後に測定データが得られる場合、コントローラ120は、プロセスステップ間、ウエハ間および/またはロット間の時間に基づく複数の時定数を有することができる。
1つまたはそれより多いコントローラは随時動作中とすることができる。例えば、1つのコントローラが動作モードでありながら、第2のコントローラが監視モードであることが可能である。また、別のコントローラがシミュレーションモードで動作していることも可能である。コントローラは単一ループまたは多重ループを含むことができ、ループは別々の時定数を有することができる。例えば、ループは、ウエハタイミング、ロットタイミング、バッチタイミング、チャンバタイミング、ツールタイミング、および/またはファクトリタイミングに依存するものとできる。
コントローラ120は、1入力1出力(SISO)デバイス、1入力多出力(SIMO)デバイス、多入力1出力(MISO)デバイス、および/または多入力多出力(MIMO)デバイスとして動作できる。また、入力および出力は、1つのコントローラ内とすることもできるし、および/または1つまたはそれより多いコントローラ間とすることもできる。例えば、CDおよび側壁角度などの複数の入力が使用される場合、入力および出力を2つのモジュール(すなわち、CD制御用のモジュールと側壁角度制御用のモジュール)の間でフィードフォワードおよびフィードバックできる。また、マスクオープンコントローラを使用することもできる。複数のモジュールを含むマルチプロセスの場合、あるコントローラから別のコントローラへ情報をフィードフォワードまたはフィードバックできる。
コントローラ120は、入力状態、プロセス特性、およびプロセスモデルに基づいてウエハの予測される状態を計算できる。例えば、トリミング速度モデルを処理時間と一緒に用いて、予測トリミング量を計算できる。あるいは、エッチング速度モデルを処理時間と一緒に用いてエッチング深さを計算することができ、また、成膜速度モデルを処理時間と一緒に用いて膜厚を計算できる。また、モデルは、SPCチャート、PLSモデル、PCAモデル、フィットネス距離相関(FDC)モデルおよび多変量解析(MVA)モデルなどとすることができる。
コントローラ120は、プロセスモジュールにおけるプロセスパラメータの範囲に関する外部供給データを受け取って利用できる。例えば、コントローラGUIコンポーネントはプロセスパラメータの範囲の手動入力手段を提供する。また、ファクトリレベルコントローラは各プロセスモジュールのプロセスパラメータ範囲を提供できる。
コントローラ120は市販のモデリングソフトウェアによって作成されるモデルを受け取って実行できる。例えば、コントローラ120は、外部のアプリケーションによって作成されてコントローラ120へ送られたモデル(PLS、PCA等)を受け取って実行できる。
コントローラ120は、ランダムノイズを取り除くために計測データをフィルタリング処理するための1つまたはそれより多いフィルタ(図示せず)を備えることができる。静的に有効でなく、ウエハ測定値の平均の計算に考慮すべきではない外れ値(outlier)を取り除くために、外れ値フィルタを用いることができる。ノイズフィルタを用いて、ランダムノイズを除去し且つ制御ループを安定化させることができる。指数型重みつき移動平均(EWMA)またはカルマンフィルタを適用できる。
コントローラ120は例外状態の通知を送ったり受け取ったりすることができる。例えば、コントローラ120は、ファクトリレベルコントローラまたはツールレベルコントローラに対して通知を送ったり受け取ったりすることができる。また、通知は、例外状態の識別後にe診断ネットワーク、eメールまたはページャを介して送ることができる。
コントローラ120は、入力および出力データをアーカイブに保管するためのデータベースコンポーネントを備えることができる。例えば、コントローラ120は、受け取った入力、送った出力、および検索可能なデータベース内でコントローラ120が行なった操作をアーカイブに保管できる。また、コントローラ120は、データをバックアップおよび復元するための手段を備えることができる。また、検索可能なデータベースは、モデル情報、構成情報、および履歴情報を含むことができ、コントローラ120は、データベースコンポーネントを用いて、履歴および現在のモデル情報およびモデル構成をバックアップおよび復元できる。
コントローラ120はウェブベースのユーザインタフェースを備えることができる。例えば、コントローラ120は、データベース内のデータを見るためのインターネット対応型GUIコンポーネントを備えることができる。コントローラ120は、セキュリティ管理者によって許可された権限に応じて複数のアクセスレベルに対応できるセキュリティコンポーネントを備えることができる。コントローラ120は、インストール時に提供されるデフォルトモデルセットを備えることができる。したがってコントローラはデフォルト状態にリセットできる。
コントローラ120は、例外の性質に応じて、例外を受けてさまざまな操作を行なうことができる。例外に基づいて行なわれる操作は、システムレシピ、プロセスレシピ、モジュールタイプ、モジュール識別番号、ロードポート番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、および/またはロット番号によって指定されるコンテキストに対して確立されるビジネスルールに基づくものとすることができる。
コントローラ120は、同時に実行され、さまざまなプロセスレシピ制約条件セットを受ける複数のプロセスモデルを管理する機能を有する。コントローラ120は、シミュレーションモード、テストモードおよび標準モードの3種類のモードで動作可能である。コントローラ120は、実際の処理モードと並行してシミュレーションモードで動作できる。
同じ物理的モジュール(PM)で2種類のレシピを実施できるとき、仮想モジュールが使用されない場合、物理的モジュールを通して第1のパスに関連するデータと、物理的モジュールを通して第2のパスに関連するデータとが混乱する場合がある。仮想モジュールが使用されないと、コントローラ120および/またはホストコントローラが、モジュールを通した第1のパスからのデータを、モジュールを通した第2のパスからのデータで上書きしてしまうことがある。
一実施形態において、(VM)などの命名規則を使用できる。例えば、第1の仮想モジュールをVMと識別できれば、n番目の仮想モジュールはVMと識別できる。あるいは、モジュール(xx)または(MODxx)など、他の命名規則を用いることもできる。例えば、仮想モジュール名をインデックスアイテムとして使用して仮想モジュールデータをデータベースに格納できる。
別の実施形態において、ホストシステムはCD SEMモジュールまたは透過型電子顕微鏡(TEM)モジュールなどの1つまたはそれより多い外部測定モジュールを含むことができ、外部測定モジュールへのアクセスを含むプロセスシーケンスを確立し、外部測定モジュールに関連するデータファイルの命名規則を確立するために仮想モジュールを用いることができる。ホストレベルコントローラおよび/またはR2Rコントローラは、外部測定モジュールに関連するデータファイルを使用できる。また、さまざまなレシピを実施するためにさまざまな外部測定モジュールを用いることができる。外部測定モジュールの利用性を最大限にし且つスループット時間を最小限にするようにツールレベルおよび/またはシステムレベルコントローラが外部測定モジュールの使用をスケジューリングできるようにするために、仮想モジュールを用いることができる。
また、プロセスシステムコントローラがシミュレーションモデルを実行するときに仮想モジュールを用いることができる。このシミュレーションモデル実行結果は、仮想モジュールを用いて格納および追跡することができる。
一例において、ホストは1つまたはそれより多い仮想モジュールを使用するようにコントローラ120に指示するルーティングシーケンスを提供できる。ホストは、データが格納されるときおよび/またはコントローラとホストの間で渡されるときに使用する命名規則を提供できる。ホストは、仮想モジュールをプロセスシーケンスの1つまたはそれより多いプロセスステップに割り当てることができる。コントローラ120は、各仮想モジュールのプロセスジョブを作成し、物理的モジュール(PM)と各仮想モジュール(VM)とを関連させることができる。別の例において、ホストはより低いレベルのコントローラに仮想モジュールの作成を許可できる。処理ツールの複雑化に伴い、リソース利用性の最大化およびスループット時間の最小化に基づいてどのプロセスおよび/または測定モジュールを使用するかを決定するために、ツールレベルおよび/またはシステムレベルコントローラを用いることができる。プロセスモジュールの利用性を最大限にし且つスループット時間を最小限にするようにツールレベルおよび/またはシステムレベルコントローラが物理的モジュールの使用をスケジューリングできるようにするために、仮想モジュールを用いることができる。
コントローラ120は、各仮想モジュールのプロセスジョブを作成し、物理的モジュールと各仮想モジュール(VM)とを関連させることができる。
半導体プロセスシステム100がホストシステムおよび1つまたはそれより多いプロセスシステムを備えている場合、ホストシステムはマスターシステムとして動作でき、処理操作の主要部分を制御および/または監視できる。ホストシステムはプロセスシーケンスを含む静的仮想モジュールプラン(SVMP)を作ることができ、また、SVMPをプロセスシステムへ送ることができる。一実施形態において、プロセスシーケンスは一連の仮想モジュール(VM)オブジェクトを含むことができる。
図2は、本発明の一実施形態にしたがうファクトリ統合構成の概略ブロック図を示す。図示の実施形態において、プロセスシステムに接続されたファクトリシステムが示されている。本発明と組み合わせて使用することが考えられるプロセスシステムの1つは、東京エレクトロン株式会社によって販売されているTELIUS(登録商標)プロセスシステムである。しかしながら、当業者に分かるように、本発明の範囲から逸脱せずに他のプロセスシステムを採用することができる。
図示されているように、ファクトリシステムはMES130であり、このMES130は、特に、高度プロセス制御(APC)機能、データ収集ストラテジ(DCS)、フィットネス距離相関モジュール(FDC)および診断システムE1を備えている。示されている例では、MES130ファクトリシステムは、E診断リンクを介してTELIUS(登録商標)プロセスシステムと情報を通信および交換する。
図3は、本発明の実施形態にしたがう統合プロセスシステムの概略ブロック図を示す。図示の実施形態において、TELIUS(登録商標)プロセスシステムなどのプロセスシステムが示されている。このプロセスシステムは、プロセスツール、統合計測モジュール(IMM)およびツールレベル高度プロセス制御(APC)コントローラを備えている。
図4は、本発明の一実施形態にしたがう統合測定プロセスの概略フロー図である。示されている実施形態では、装置組込み型計測(IM:integlated metrology)プロセスは光学デジタル形状測定(ODP)技術に基づくものとなっている。例えば、処理済み(例えば、エッチング後および/またはトリミング後)のウエハのスペクトルデータを収集して、ODPライブラリのシミュレートスペクトルと突き合わせる。突き合わせられるスペクトルは、CD付きプロファイル、フィルム厚および側壁ポリマー情報に対応している。プロセスシステムおよび/またはホストシステムに計測データを報告できる。
図5は本発明の一実施形態にしたがうマルチステッププロセスシーケンスの概略フロー図を示す。図示の実施形態では、多数の測定ステップが示され、多数のプロセスステップ(例えば、レジストトリミング、エッチング、コーナトリミング等)ならびにフィードバックおよびフィードフォワード制御機能が示されている。示されている各測定ステップおよびプロセスステップ毎に1つまたはそれより多いモジュールオブジェクトを作成できる。ただし、これは本発明の要件ではない。他のプロセスシーケンスを用いることができ、また、別の個数の仮想モジュールオブジェクトを用いることができる。
図6は本発明の一実施形態にしたがう制御プロセスの概略ブロック図を示す。図示の実施形態では、制御プランの概略ブロック図が示されている。仮想モジュール制御プランおよび/またはストラテジを作成して、物理的モジュールにマッピングすることができる。
図7は本発明の一実施形態にしたがう物理的モジュールの例示的な関係図を示す。図示の実施形態では、6つの物理的モジュールが示されており、この6つの物理的モジュールは4つのプロセスモジュール(PM1〜PM4)および2つの測定モジュール(IMM1およびIMM2)を備えている。ただし、これは本発明の要件ではない。別の実施形態でそうであるように、物理的モジュールの個数とその構成は異なるものとすることができる。
例示的なルーティングすなわちプロセスシーケンスが示されているが、これは本発明の要件ではない。別の実施形態では、別のシーケンスを使用できる。例えば、各ルーティングステップに仮想モジュールを用いることができる。
図8は、本発明の一実施形態にしたがうプロセスシステムコントローラ操作方法のフロー図である。図示の実施形態ではウエハベースの手順800が示されているが、これは本発明の要件ではない。すなわち、手順はロットベースの手順であっても、バッチベースの手順であってもよい。手順800はタスク810から開始し、開始イベントは、例えば、ホストイベント、ウエハ入イベント、ロット開始イベント、バッチ開始イベント、または別のプロセスの終了イベントとすることができる。
プロセスシステムは、プロセスモジュールおよび測定モジュールを備えることができる。プロセスモジュールは、エッチングモジュール、成膜モジュール、化学的酸化物除去(COR)モジュール、加熱モジュール、移送モジュール、冷却モジュール、現像モジュール、またはこれらのうちの2つ以上のプロセスモジュールの組合せを含むことができる。測定モジュールは、光学測定モジュール、光学デジタルプロファイル(ODP)モジュール、SEMモジュール、TEMモジュール、またはこれら測定モジュールのうちの2つ以上の組合せを含むことができる。
タスク820において、プロセスシステムコントローラはウエハの静的仮想モジュールプラン(SVMP)をホストシステムから受け取る。SVMPは、1つまたはそれより多いウエハを処理するために用いるプロセスシステムのための命令セットを含むことができる。SVMPが使用されるとき、ホストシステムはマスターコントローラとして作用する。
SVMPは、ホストシステムによって決定できるウエハの所望のプロセス結果を含むこともできる。所望のプロセス結果は、トリミング量、エッチング量、成膜量、またはそれらのうちの2つ以上の組合せを含むことができる。
また、SVMPは、所望のプロセス結果を達成するためにウエハが使用できる物理的モジュールを実際に通過する通過回数(Nact)と、所望のプロセス結果を達成するためにウエハが使用できる物理的モジュールを通過する最大通過回数(Nmax)と、物理的モジュールへの非アクセス回数(Nnon)とを含むことができる。SVMPは各物理的モジュールのプロセスレシピを含むこともできる。
また、SVMPは、異なるウエハがプロセスモジュールに対して異なる回数のアクセスを行なう場合に使用できるマルチアクセスプランを含むことができる。マルチアクセスプランはウエハ依存とすることができる。すなわち、いくつかのウエハはプロセスモジュールに複数回アクセスする必要があり、他のウエハはプロセスモジュールに1回アクセスするか、または非アクセスとする必要があるものとしてもよい。
一実施形態において、マルチアクセスプランはホストコントローラによって決定され、R2Rコントローラに送ることができる。別の実施形態では、R2Rコントローラなどの別のコントローラを用いてマルチアクセスプランを作成および/または修正することができる。
また、SVMPは、異なるウエハが測定モジュールに対して異なる回数のアクセスを行なう場合に使用できるサンプリングプランを含むことができる。サンプリングプランは、プレプロセスおよびポストプロセス測定をいつ行なうかを決定するために用いることもできる。マルチアクセスプランと同様に、サンプリングプランもウエハ依存とすることができ、この場合、いくつかのウエハがプロセスモジュールへの複数回のアクセスを要し、他のウエハがプロセスモジュールへの1回のアクセスまたは非アクセスを要することがある。
一実施形態において、サンプリングプランはホストコントローラによって決定してR2Rコントローラに送ることができる。別の実施形態では、R2Rコントローラなどの別のコントローラを用いてサンプリングプランを作成および/または修正することができる。
SVMPは、物理的モジュールへX回アクセスするようにウエハに要求することができる。ここでXはゼロ以上の整数である。また、SVMPは物理的モジュールをY回スキップするようにウエハに要求することができる。ここでYはゼロ以上の整数である。プロセスシーケンスはN個の仮想モジュールを含むことができ、ここでN=XMAX+YMAXであり、XMAXは最大アクセス回数であり、YMAXは最大非アクセス(アクセススキップ)回数である。
Figure 2008511988
上掲の表1は、8個の仮想モジュールに対する何通りかの例示的なプロセスシーケンスを示す。この例では、第1の測定モジュール(IM01)への最大アクセス回数は3回であり、第2の測定モジュール(IM02)への最大アクセス回数は1回であり、第1のプロセスモジュール(PM01)への最大アクセス回数は2回であり、第2のプロセスモジュール(PM02)への最大アクセス回数は2回である。別の実施形態では、処理モジュールの個数を別のものとすることができ、測定モジュールの個数を別のものとすることができ、最大アクセス回数を別のものとすることができる。
プロセスシーケンス#1で、ウエハが第1の測定モジュールに対して行なうことができる実際のアクセス回数は、この例で許容されている最大回数である。同様に、ウエハが第2の測定モジュールに対して行なうことができる実際のアクセス回数は、この例で許容されている最大回数である。また、ウエハが第1のプロセスモジュールに対して行なうことができる実際のアクセス回数は、この例で許容されている最大回数である。同様に、ウエハが第2のプロセスモジュールに対して行なうことができる実際のアクセス回数は、この例で許容されている最大回数である。ただし、これは本発明の要件ではない。示されているプロセスシーケンスは1つまたはそれより多いウエハに適用できる。
第1のプロセスシーケンスでは、第1の仮想モジュールVMオブジェクトを第1の測定モジュールへの第1のアクセスIM01(1)に関連させることができ、第2の仮想モジュールVMオブジェクトを第1のプロセスモジュールへの第1のアクセスPM01(1)に関連させることができ、第3の仮想モジュールVMオブジェクトを第1のプロセスモジュールへの第2のアクセスPM01(2)に関連させることができ、第4の仮想モジュールVMオブジェクトを第1の測定モジュールへの第2のアクセスIM01(2)に関連させることができ、第5の仮想モジュールVMオブジェクトを第2のプロセスモジュールへの第1のアクセスPM02(1)に関連させることができ、第6の仮想モジュールVMオブジェクトを第2のプロセスモジュールへの第2のアクセスPM02(2)に関連させることができ、第7の仮想モジュールVMオブジェクトを第1の測定モジュールへの第3のアクセスIM01(3)に関連させることができ、第8の仮想モジュールVMオブジェクトを第2の測定モジュールへの第1のアクセスIM02(1)に関連させることがでる。
SVMPに関して上述したように、ウエハは物理的モジュールを1回またはそれより多い回数アクセスすることができ(例えば、マルチアクセスプラン)、ウエハは物理的モジュールへの1回またはそれより多い回数のアクセスをスキップすることができる(例えば、サンプリングプラン)。
第1の例示的なプロセスシーケンスは、所望のプロセス結果が、第1の測定モジュールへの3回のアクセスと、第1のプロセスモジュールへの2回のアクセスと、第2のプロセスモジュールへの2回のアクセスと、第2の測定モジュールへの1回のアクセスを要する場合に発生し得る。他のプロセスシーケンスは、別のプロセスステップと組み合わせて所望のプロセス結果を達成できるときに発生し得る。プロセスモジュールへのマルチアクセスは、プロセスモジュールまたはプロセスモジュールセットへの1回の通過で所望のプロセス結果を達成できないときに要求され得る。例えば、必要エッチング量、必要トリミング量または必要成膜量が、プロセスモジュールを1回通過することで達成できる量より大きい場合がある。測定モジュールへのマルチアクセスは、プレプロセスおよび/またはポストプロセス測定が要求されるときに生じ得る。
表1は、ウエハが物理的モジュールに対して行なう実際のアクセス回数が許容最大回数より少ない場合に生じ得る別のプロセスシーケンスの例も示している。プロセスモジュールへの実際のアクセス回数はゼロ以上とすることができる。例えば、トリミングプロセスまたはエッチングプロセスなどのプロセスが不要であるとき、プロセスモジュールへの実際のアクセス回数はゼロとなり得る。また、測定モジュールへの実際のアクセス回数はゼロ以上となり得る。例えば、プロセスツール関係の測定プロセスまたはホストシステム関係の測定プロセスなどの測定プロセスが不要であるとき、測定モジュールへの実際のアクセス回数はゼロとなり得る。
第2のプロセスシーケンスでは、第8の仮想モジュールVMを第2の測定モジュールへの非アクセス(アクセススキップ)IM02に関連させることがでる。仮想モジュールオブジェクトを含むプロセスシーケンスにおいては、物理的モジュールへの1回またはそれより多い回数のアクセスをスキップすることがウエハに許可され、「プレースホルダ(placeholder)」仮想モジュールを確立できる。仮想モジュールをプレースホルダとして使用すると、最小量のデータが生成される。例えば、データベースは仮想モジュール名と「ヌル(null)」識別名を含むことができる。
別のウエハの所望のプロセス結果を達成するために、物理的モジュールへの別の回数のアクセスが必要となる場合には、SVMPは、物理的モジュールへの別のアクセス回数を表す仮想モジュールを使用するように構成される。プロセスシーケンスに必要な仮想モジュールオブジェクトの個数は、1つまたはそれより多い物理的モジュールに必要な最大アクセス回数と等しくなり得る。別の実施形態においては、マルチアクセスプランまたはサンプリングプランを用いてもよい。アクセスされた仮想モジュール(VVM)オブジェクトは、物理的モジュールを1回通過(アクセス)することを表すことができる。VVMオブジェクトの最大個数は物理的モジュールの最大通過回数に等しい。この場合、VVMオブジェクトの実際の個数は、1つまたはそれより多いアクセスされた物理的モジュールを通過する実際の通過回数に等しい。イベントデータおよび測定データなどのVVMデータをVVMオブジェクトのホストシステムに送ることができる。
非アクセス仮想モジュール(NVVM)オブジェクトは物理的モジュールへの非アクセス(アクセススキップ)を表すことができる。NVVMオブジェクトの最大個数は物理的モジュールの最大非アクセス回数に等しい。この場合、NVVMオブジェクトの実際の個数は、1つまたはそれより多い物理的モジュールに対する実際の非アクセス回数に等しい。イベントデータおよびプレースホルダデータなどのNVVMデータをNVVMオブジェクトのホストシステムにアップロードできる。
Figure 2008511988
上掲の表2は、表1に示されているプロセスシーケンスと関連するアクセスされた仮想モジュール(VVM)オブジェクトおよび非アクセス仮想モジュール(NVVM)を示す。この例では、第1の測定モジュール(IM01)への最大アクセス回数は3回であり、第2の測定モジュール(IM02)への最大アクセス回数は1回であり、第1のプロセスモジュール(PM01)への最大アクセス回数は2回であり、第2のプロセスモジュール(PM02)への最大アクセス回数は2回である。例示的なプロセスシーケンスの長さは8(例えば、3+1+2+2=8)である。別の実施形態では、処理モジュールの個数を別のものとすることができ、測定モジュールの個数を別のものとすることができ、最大アクセス回数を別のものとすることができる。
表2に示した例では、ウエハ#1に関連付けられたアクセスされた仮想モジュール(VVM)の実際の個数は、ウエハ#1が第1の測定モジュールに対して行なう実際のアクセス回数と、ウエハ#1が第2の測定モジュールに対して行なう実際のアクセス回数と、ウエハ#1が第1のプロセスモジュールに対して行なう実際のアクセス回数と、ウエハ#1が第2のプロセスモジュールに対して行なう実際のアクセス回数とを足し合わせたものに等しい。ただし、これは本発明の要件ではない。ウエハ#1について示されているプロセスシーケンスは1つまたはそれより多いウエハに適用できる。
表2は、ウエハがモジュールに対して行なう実際のアクセス回数が許容最大回数より少ない場合に生じ得る別のプロセスシーケンスの例も示している。非アクセス回数は、物理的モジュールへの最大アクセス回数から実際のアクセス回数を引いたものと等しくなり、また、非アクセス仮想モジュール(NVVM)オブジェクトの個数は、物理的モジュールへの最大アクセス回数から実際のアクセス回数を引いたものと等しくなり得る。例えば、物理的プロセスが必要ない場合、物理的モジュールへの実際の非アクセス回数は、最大許容アクセス回数と等しくなり得る。
この例では、ウエハに関連付けられたVVMオブジェクトの個数はウエハが物理的モジュールに対して行なう実際のアクセス回数に等しく、また、ウエハに関連付けられたNVVMオブジェクトの個数はウエハが物理的モジュールに対して行なう実際の非アクセス回数に等しい。
一実施形態において、測定モジュールは、プロセスジョブによって決まるウエハ依存型のサンプルプランを用いて制御できる。サンプルプランでは、必要とされる測定モジュール最大アクセス回数を備えるウエハルートを用いてシステムレシピを設定することができ、その後、別の測定モジュールのサンプリングに同じシステムレシピを用いることができる。測定モジュールデータは、制御プラン(Control
Plan)またはフィードバックプラン(Feedback Plan)に送ることができる。
図8において、ウエハベース手順800はタスク830におけるプロセスシーケンスを実行する。R2Rコントローラなどの1つまたはそれより多いプロセスシステムコントローラを用いて、プロセスシーケンスを実行することができることがわかる。一実施形態において、プロセスシーケンスは(N個の)仮想モジュールオブジェクトを含むことができ、(VM、VM、…VM)と記述される。このプロセスシーケンスは第1の個数のVVMオブジェクトと第2の個数のNVVMオブジェクトを含むことができる。
プロセスシステムコントローラは、システムの動作を制御するために複数のAPCレシピ(制御ストラテジ(Control Strategy))を含むことができ、制御ストラテジはシステムレシピと関連させることができる。制御ストラテジは制御プラン(Control
Plan)を含むことができ、制御下の物理的モジュールは物理的モジュールへのアクセスについて定義した少なくとも1つの制御プランを有することができる。制御プランは、モデル、限界、目標を含むことができ、モジュール内の多数のプロセスステップを対象とすることができる。一実施形態において、仮想制御ストラテジおよび/またはプランを確立し、物理的モジュールへマッピングすることができる。制御ストラテジおよび/またはプランは、プロセスジョブ(Process
Job; PJ)が受け取られおよび/または作成されるときに確立できる。
プロセスシステムコントローラ120は、アクセスされた仮想モジュール(VVM)データ収集(DC)ストラテジをさらに実行できる。VVMデータ収集(DC)ストラテジは少なくとも1つのアクセスされた仮想モジュール(VVM)データ収集(DC)プランを含む。このVVM
DCストラテジの選択および開始はコンテキストベースとすることもできる。VVM DCストラテジが実行されるときに物理的モジュール内で処理されるウエハに関するVVMデータ収集を収集できる。
DCプランは、どのデータをどのように収集し、どこに格納するかを決定する。プロセスシステムコントローラは仮想モジュールのためのデータ収集プランを自動作成できる。一般に、特定のモジュールに対して1度に1つのデータ収集プランを有効にすることができ、コントローラはウエハのコンテキストに一致するデータ収集プランを選択および使用できる。データは、追跡データ、プロセスログ情報、レシピデータ、保守カウンタデータ、OESデータ、VIPデータ、アナログデータ、またはそれらのうちの2つ以上の組合せなどとすることができる。測定デバイスおよび/またはセンサはDCプランによって開始および停止することができる。DCプランは、データのトリミング、データのクリッピングならびにスパイクデータおよび外れ値の処理に関する情報も提供する。
また、プロセスシステムコントローラは、プロセスシーケンスのVVMオブジェクトが実行されるときに、アクセスされた仮想モジュール(VVM)解析ストラテジを実行できる。VVM解析ストラテジは、アクセスされた仮想モジュール(VVM)解析プランまたはアクセスされた仮想モジュール(VVM)判断プランまたはその組合せを含むことができる。VVM解析ストラテジが実行されるとき、ウエハデータ、プロセスデータ、および/またはモジュールデータを解析することができ、障害状態を識別できる。
例えば、データが収集された後、実行規則評価のためにデータを統計処理制御(SPC)プログラムに送ることができる。SPCの限界は、履歴データに基づいて自動計算することもできるし、顧客の経験またはプロセス知識に基づいて手作業で入力することもでき、またはホストコンピュータから入手することもできる。仮想モジュールの場合、コントローラによってSPCチャートを自動生成できる。
データは警告および制御限界と比較することができ、実行規則に違反しているとき、プロセスが統計限界を越えたことを示すSPCアラームを生成できる。アラームが生成されるとき、プロセスシステムコントローラは通知または介入を行なうことができる。通知はeメール経由またはeメール起動ページャによるものとすることができる。また、プロセスシステムコントローラは次の介入を実施できる:現在のロットの終了時にプロセスを一時停止する、または現在のウエハの終了時にプロセスを一次停止する。プロセスシステムコントローラは、アラームを発生させた仮想モジュールを特定できる。
一実施形態において、プロセスシステムコントローラはプロセスシーケンスのVVMオブジェクト毎にプロセスレシピを決定できる。あるいは、ホストシステムがプロセスレシピを決定し、送り、および/または確認することができる。
プロセスシステムコントローラは、プロセスシーケンスの仮想モジュールが物理的モジュールへの非アクセスと関連しているときに、非アクセス仮想モジュール(NVVM)制御ストラテジを実行することもできる。非アクセス仮想モジュール(NVVM)制御ストラテジは1つまたはそれより多い非アクセス仮想モジュール(NVVM)制御プランを含むことができる。非アクセス仮想モジュール(NVVM)データ収集(DC)ストラテジも実行でき、NVVMデータ収集(DC)ストラテジは、NVVMデータを収集するための1つまたはそれより多い非アクセス仮想モジュール(NVVM)データ収集(DC)プランを含むことができる。
NVVM制御ストラテジが実行されるとき、物理的モジュール内でウエハの処理は行なわれない。NVVM DCストラテジが実行されるとき、ウエハに関するNVVMデータを収集できる。
一実施形態において、プロセスシステムコントローラはプロセスシーケンスの各NVVMオブジェクトの「ヌル」レシピを決定するために用いることができる。例えば、「保持」タイプの動作としてウエハを物理的モジュールに移動するために「ヌル」レシピを用いることができる。あるいは、ウエハを、移送モジュール、ローディングモジュール、格納モジュール、加熱モジュール、または冷却モジュールなどの一時的格納ポイントに移動させるために「ヌル」レシピを用いることができる。
NVVMオブジェクトが実行されるとき、プロセスシステムコントローラは最小量のデータを有するデータファイルを作成できる。ウエハが物理的モジュール内の1つにおいて1つまたはそれより多い処理ステップを要しないとき、NVVMオブジェクトが発生し得る。NVVMオブジェクトの実行中、プロセスシステムコントローラはウエハをその現在位置に残しておくこともできるし、ウエハを保持位置に移動させることもできる。あるいは、ウエハを、移送モジュール、ローディングモジュール、格納モジュール、加熱モジュール、または冷却モジュールなどの一時的格納ポイントに移動させるために「ヌル」レシピを用いることができる。
仮想モジュールをプレースホルダとして使用すると、最小量のデータが生成される。例えば、データファイルは仮想モジュール名と「ヌル」識別名を含むことができる。
タスク840において、ウエハベース手順800はデータを収集する。特に、プロセスシーケンスの仮想モジュールオブジェクトが物理的モジュールの現実通過と関連しているとき、プロセスシステムコントローラはアクセスされた仮想モジュール(VVM)データを収集できる。また、プロセスシステムコントローラは、プロセスシーケンスの仮想モジュールが物理的モジュールへの非アクセスと関連しているときに、非アクセス仮想モジュール(NVVM)データを収集することもできる。VVMデータおよびNVVMデータは、プロセスシステムと関連するデータベースに格納できる。
一実施形態において、プロセスシステムコントローラは、アクセスされた仮想モジュール(VVM)データまたは非アクセス仮想モジュール(NVVM)データまたはそれらの組合せをホストシステムに送ることができる。また、VVMデータおよびNVVMデータは、ホストシステムと関連するデータベースに格納できる。
VVMおよびNVVMのデータが収集された後に、別のウエハが処理を要するかどうかを判断するために手順800はタスク850に示されるような照会を行なうことができる。そうであれば、図8に示されているように、手順800がタスク830に戻り、プロセスシーケンスを継続する。別のウエハが処理を要しない場合、手順800は該手順を終了するタスクに進む。
図9は、本発明の別の実施形態にしたがうホストシステムコントローラ操作方法のフロー図を示す。図示の実施形態ではウエハベースの手順900が示されているが、これは本発明の要件ではない。あるいは、手順はロットベースとすることも、バッチベースとすることも可能である。手順900はタスク910から開始し、例えば開始イベントは、ウエハ入イベント、ロット開始イベント、バッチ開始イベント、または別のプロセスの終了イベントとすることができる。
タスク920で、ホストコントローラは、ウエハの所望のプロセス結果を用いて必要プロセスシーケンスを決定する。
プロセスシーケンスを決定すると、タスク930で、ホストコントローラは静的仮想モジュールプラン(DVMP)を作成できる。SVMPは、プロセスシーケンス、プロセスシーケンス長(N)、VVMオブジェクト数(Nact)、NVVMオブジェクト数(Nnon)、各物理的モジュールを通過する最大通過回数(Nmax)、またはそれらのうちの2つ以上の組合せを含むことができる。
ホストコントローラは、所望のプロセス結果を達成するためにウエハが実際に使用する物理的モジュールを実際に通過する回数(Nact)を求めることができ、また、ウエハによる物理的モジュールの実際の通過毎にVVMオブジェクトを確立できる。また、ホストコントローラは、所望のプロセス結果を達成するためにウエハが実際に使用する物理的モジュールに対する実際の非アクセス回数(Nact)を求めることができ、また、ウエハと関連する非アクセス(アクセススキップ)毎にNVVMオブジェクトを確立できる。ホストコントローラは所望のプロセス結果を達成するためにウエハが使用できる各物理的モジュールを通過する最大通過回数(Nmax)を求めることもできる。また、ホストコントローラはウエハのプロセスシーケンス長(N)を求めることができる。プロセスシーケンス長(N)は、各物理的モジュールに関連する最大アクセス回数と非アクセス回数の合計に等しい。また、ホストコントローラはウエハに関連する物理的モジュールへのアクセス回数(Nact)を求めることができ、ここで(Nact)はゼロ以上の整数であり、ウエハに関連する物理的モジュールへアクセス(Nact)するたびに、アクセスされた仮想モジュール(VVM)オブジェクトを確立できる。また、ホストコントローラはウエハに関連する物理的モジュールへの非アクセス回数(Nnon)を求めることができ、ここで(Nnon=Nmax−Nact)であり、(Nnon)はゼロ以上の整数であり、ウエハに関連する物理的モジュールへの非アクセス(Nnon)毎に非アクセス仮想モジュール(NVVM)オブジェクトを確立できる。また、ホストコントローラは(Nact)VVMオブジェクトと(Nnon)NVVMオブジェクトを含むプロセスシーケンスを決定することができ、プロセスシーケンスの各VVMオブジェクトは物理的モジュールの実際の通過に関連し、プロセスシーケンスの各NVVMオブジェクトは物理的モジュールへの非アクセスに関連している。
SVMP作成後、タスク940に示されるように、ホストはSVMPをプロセスシステムに送る。手順900はタスク950で終了することができる。
プロセスシステムがSVMPを実行するとき、プロセスシステムはホストシステムとデータ交換できる。例えば、ホストシステムは、プロセスシステムがプロセスシーケンスを実行してVVMオブジェクトが実行されるときに、アクセスされた仮想モジュール(VVM)データを受け取ることができる。また、ホストシステムは、プロセスシステムがプロセスシーケンスを実行してNVVMオブジェクトが実行されるときに、非アクセス仮想モジュール(NVVM)データを受け取ることができる。
一実施形態において、ホストコントローラはプロセスシーケンスのVVMオブジェクト毎にプロセスレシピを決定することができ、プロセスシーケンスの各VVMオブジェクトのプロセスレシピをプロセスシステムへ送ることができる。ホストコントローラはプロセスシーケンスのNVVMオブジェクト毎にプロセスレシピを決定することができ、ホストコントローラはプロセスシーケンスの各NVVMオブジェクトのプロセスレシピをプロセスシステムへ送ることができる。
ホストコントローラは所望のプロセス結果を決定することもでき、この所望のプロセス結果はトリミング量、エッチング量、成膜量、またはそれらのうちの2つ以上の組合せを含むことができる。
半導体処理システムがホストシステムおよび1つまたはそれより多い処理システムを備えている場合、ホストシステムおよびプロセスシステムは一緒に動作して、プロセス動作を制御および/または監視できる。ホストシステムと異なり、プロセスシステムは、プロセスシーケンスを含む動的仮想モジュールプラン(SVMP)を作成でき、プロセスシステムコントローラはDVMPをホストシステムへ送ることができる。一実施形態において、プロセスシーケンスは一連の仮想モジュール(VM)オブジェクトを含むことができる。
図10は、本発明のさらに別の実施形態にしたがう処理システムコントローラ操作方法のフロー図を示す。図示の実施形態ではウエハベースの手順1000が示されているが、これは本発明の要件ではない。あるいは、手順はロットベースとすることも、バッチベースとすることも可能である。手順1000はタスク1010から開始する。例えば、開始イベントは、ウエハ入イベント、ロット開始イベント、バッチ開始イベント、または別のプロセスの終了イベントとすることができる。
タスク1020において、プロセスシステムコントローラはホストシステムから所望のプロセス結果を受け取ることができる。所望のプロセス結果は、トリミング量、エッチング量、成膜量、またはそれらのうちの2つ以上の組合せを含むことができる。
一実施形態において、プロセスシステムとホストシステムが協働して、ウエハを処理するために用いる正確なプロセスシーケンスを決定する。例えば、CORプロセスなどのトリミングプロセスでは、何枚かのウエハはCORモジュールを通過させる必要がなく、何枚かのウエハはCORモジュールを1回通過させる必要があり、他のウエハはCORモジュールを1回より多く通過させる必要がある場合がある。この場合、ホストシステムは、プロセスシステムに、CORモジュールと仮想モジュールを通過する回数を求めさせる。異なるウエハのプロセスシーケンスの異なるプロセスオブジェクト数を処理するために、通過回数を確立できる。
ホストシステムから受け取った所望のプロセス結果に基づいて、プロセスシステムコントローラは、タスク1030に示されるように、受け取った所望結果を用いてウエハの動的仮想モジュールプラン(SVMP)を作成する。一実施形態において、DVMPは、ウエハの所望のプロセス結果と、所望のプロセス結果を達成するためにウエハが使用できる物理的モジュールを実際に通過する回数(Nact)と、所望のプロセス結果を達成するためにウエハが使用できる物理的モジュールを通過する最大通過回数(Nmax)と、プロセスシーケンスと、ウエハのプロセスシーケンス長(N)とを含むことができる。プロセスシーケンス長(N)は、物理的モジュールを通過する最大通過回数(Nmax)と等しくすることができる。プロセスシーケンスはNnon個の仮想モジュールオブジェクトを含むことができ、プロセスシーケンスのアクセスされた仮想モジュール(VVM)オブジェクトは物理的モジュールの実際の通過と関連させることができ、非アクセス仮想モジュール(NVVM)オブジェクトは物理的モジュールへの非アクセスと関連させることができる。
タスク1040で、プロセスシステムコントローラはDVMPをホストシステムへ送る。
プロセスシーケンスが決定されると、プロセスシステムコントローラはプロセスシーケンスを実行でき、プロセスシステムコントローラはプロセスシーケンスを実行し、このプロセスシーケンスはN個の仮想モジュールオブジェクトを含むことができ、また、VVMオブジェクト、またはNVVMオブジェクト、またはそれらの組合せを含むことができる。プロセスシーケンスのVVMオブジェクトが実行されるときに、アクセスされた仮想モジュール(VVM)データを収集でき、プロセスシーケンスのNVVMオブジェクトが実行されるときに非アクセス仮想モジュール(NVVM)データを収集できる。プロセスシステムコントローラは、アクセスされた仮想モジュール(VVM)データまたは非アクセス仮想モジュール(NVVM)データまたはそれらの組合せをホストシステムに送ることができる。また、VVMデータおよび/またはNVVMデータは、プロセスシステムおよび/またはホストシステムと関連するデータベースに格納できる。
手順1000はタスク1050で終了することができる。
プロセスシステムコントローラは、プロセスシーケンスのVVMオブジェクトが実行されるときにアクセスされた仮想モジュール(VVM)制御ストラテジを実行できる。VVM制御ストラテジは1つまたはそれより多いアクセスされた仮想モジュール(VVM)制御プランを含むことができる。VVM制御ストラテジの選択および開始はコンテキストベースとすることができる。VVM制御ストラテジが実行されるときに物理的モジュール内でウエハを処理できる。
また、プロセスシステムコントローラは、アクセスされた仮想モジュール(VVM)データ収集(DC)ストラテジを実行できる。VVMデータ収集(DC)ストラテジは少なくとも1つのアクセスされた仮想モジュール(VVM)データ収集(DC)プランを含む。VVM
DCストラテジの選択および開始はコンテキストベースとすることもできる。VVM DCストラテジが実行されるときに物理的モジュール内で処理されるウエハに関するVVMデータを収集できる。
また、プロセスシステムコントローラは、プロセスシーケンスのVVMオブジェクトが実行されるときにアクセスされた仮想モジュール(VVM)解析ストラテジを実行できる。VVM解析ストラテジは、アクセスされた仮想モジュール(VVM)解析プランまたはアクセスされた仮想モジュール(VVM)判断プランまたはその組合せを含むことができる。VVM解析ストラテジが実行されるとき、ウエハデータ、プロセスデータ、および/またはモジュールデータを解析することができ、障害状態を識別できる。
一実施形態において、プロセスシステムコントローラはプロセスシーケンスのVVMオブジェクト毎にプロセスレシピを決定できる。あるいは、ホストシステムがプロセスレシピを決定し、送り、および/または確認することができる。
プロセスシステムコントローラは、プロセスシーケンスの仮想モジュールが物理的モジュールへの非アクセスと関連しているときに、非アクセス仮想モジュール(NVVM)制御ストラテジを実行することもできる。非アクセス仮想モジュール(NVVM)制御ストラテジは1つまたはそれより多い非アクセス仮想モジュール(NVVM)制御プランを含むことができる。非アクセス仮想モジュール(NVVM)データ収集(DC)ストラテジも実行でき、NVVMデータ収集(DC)ストラテジは、NVVMデータを収集するための1つまたはそれより多い非アクセス仮想モジュール(NVVM)データ収集(DC)プランを含むことができる。
NVVM制御ストラテジが実行されるとき、物理的モジュール内でウエハの処理は行なわれない。NVVM DCストラテジが実行されるとき、ウエハに関するNVVMデータを収集できる。
一実施形態において、プロセスシステムコントローラはプロセスシーケンスの各NVVMオブジェクトの「ヌル」レシピを決定するために用いることができる。例えば、「保持」タイプの動作としてウエハを物理的モジュールに移動するために「ヌル」レシピを用いることができる。あるいは、ウエハを、移送モジュール、ローディングモジュール、格納モジュール、加熱モジュール、または冷却モジュールなどの一時的格納ポイントに移動させるために「ヌル」レシピを用いることができる。
一実施形態において、プロセスシステムコントローラはプロセスシーケンスの1つまたはそれより多い仮想モジュールオブジェクトのプロセスレシピを受け取ることができる。例えば、ホストシステムは物理的モジュールで実施される特定のプロセスレシピを必要とする場合がある。また、ホストシステムは、「サンプリングされた」ウエハの特定プロセスステップセットを必要とする場合がある。例えば、ウエハを外部の測定モジュールに送ることもできる。
プロセスモジュールは、エッチングモジュール、成膜モジュール、化学的酸化物除去(COR)モジュール、加熱モジュール、移送モジュール、冷却モジュール、現像モジュール、またはこれらのうちの2つ以上のプロセスモジュールの組合せを含むことができる。測定モジュールは、光学測定モジュール、光学デジタルプロファイル(ODP)モジュール、SEMモジュール、TEMモジュール、またはこれらのうちの2つ以上の組合せを含むことができる。
半導体処理システムがホストシステムおよび1つまたはそれより多い処理システムを備えている場合、ホストシステムおよびプロセスシステムは一緒に動作して、プロセス動作を制御および/または監視できる。プロセスシステムはホストシステムと協力して、プロセスシーケンスを含む動的仮想モジュールプラン(DVMP)を作成できる。プロセスシステムコントローラは、DVMPをホストシステムへ送ることができ、ホストシステムはDVMPを実行できる。一実施形態において、プロセスシーケンスは一連の仮想モジュール(VM)オブジェクトを含むことができる。
図11は、本発明のさらに別の実施形態にしたがう処理システムコントローラ操作方法のフロー図を示す。図示の実施形態ではウエハベースの手順1100が示されているが、これは本発明の要件ではない。代わりに、この手順はロットベースまたはバッチベースとすることもできる。手順1100はタスク1110から開始し、開始イベントは、例えばウエハ入イベント、ロット開始イベント、バッチ開始イベント、または別のプロセスの終了イベントとすることができる。
タスク1120で、ホストコントローラがプロセスシステムから動的仮想モジュールプラン(DVMP)を受け取る。DVMPは、ウエハの所望のプロセス結果と、所望のプロセス結果を達成するためにウエハが使用できる物理的モジュールを実際に通過する回数(Nact)と、所望のプロセス結果を達成するためにウエハが使用できる物理的モジュールを通過する最大通過回数(Nmax)と、プロセスシーケンスと、ウエハのプロセスシーケンス長(N)とを含む。プロセスシーケンス長(N)は、物理的モジュールを通過する最大通過回数(Nmax)と等しくすることができる。プロセスシーケンスはN個の仮想モジュールプロセスオブジェクトを備えることができる。プロセスシーケンスは、アクセスされた仮想モジュール(VVM)の実際の通過と関連するプロセスシーケンスの各仮想モジュールオブジェクトのアクセスされた仮想モジュール(VVM)オブジェクトを含むことができ、また、物理的モジュールへの非アクセスと関連するプロセスシーケンスの各仮想モジュールオブジェクトの非アクセス仮想モジュール(NVVM)オブジェクトを含むことができる。
DVMPを受け取ると、ホストコントローラはこのDVMPをタスク1130で実行する。タスク1140において手順1100を終了することができる。
一実施形態において、プロセスシステムがプロセスシーケンスを実行し、VVMオブジェクトが実行されるときに、ホストコントローラはアクセスされた仮想モジュール(VVM)データを受け取ることができ、プロセスシステムがプロセスシーケンスを実行し、NVVMオブジェクトが実行されるときに、ホストコントローラは非アクセス仮想モジュール(NVVM)データを受け取ることができる。
ホストコントローラは、プロセスシーケンスの少なくとも1つのアクセスされた仮想モジュール(VVM)オブジェクトのプロセスレシピを決定および/または検証することができ、プロセスシーケンスの1つまたはそれより多いアクセスされた仮想モジュール(VVM)オブジェクトのプロセスレシピをデータベースに送ることができる。
ホストコントローラは、プロセスシーケンスの少なくとも1つの非アクセス仮想モジュール(NVVM)オブジェクトのプロセスレシピを決定および/または検証することができ、プロセスシーケンスの1つまたはそれより多い非アクセス仮想モジュール(NVVM)オブジェクトのプロセスレシピをデータベースに送ることができる。
一実施形態において、アクセスされた仮想モジュール(VVM)データオブジェクトは、ウエハが物理的モジュールを通過する実際の各通過に対して確立できるが、非アクセス仮想モジュール(NVVM)データオブジェクトは、ウエハに関連する物理的モジュールへの各非アクセス(Nnon)に対して確立できる。
半導体処理システムがホストシステムおよび1つまたはそれより多い処理システムを備えている場合、ホストシステムはマスターシステムとして動作でき、処理操作の主要部分を制御および/または監視できる。ホストシステムはプロセスシーケンスを含む静的仮想モジュールプラン(SVMP)を作ることができ、また、SVMPを処理システムに送ることもできる。一実施形態において、プロセスシーケンスは一連の物理的モジュール(PM)および仮想モジュール(VM)オブジェクトを含むことができる。
SVMPおよび/またはDVMPはウエハ依存とすることができる。すなわち、物理的モジュールに複数回アクセスする必要があるウエハもあれば、、プロセスモジュールに1回アクセスする必要があるウエハもあれば、物理的モジュールへのアクセスの必要が0回のウエハもあるということである。
図12は、本発明の一実施形態にしたがう仮想モジュール(VM)制御ストラテジ画面の例示的な図を示す。VM制御ストラテジ(VM
Control Strategy)画面は多数の構成アイテムを備えることができる。ストラテジ名(Strategy Name)フィールドは、VM制御ストラテジの名称を入力/編集するために用いることができる。VM制御ストラテジの説明を入力/編集するために説明(Description)フィールドを用いることができる。VM制御ストラテジのモードを入力/編集するためにモードフィールドを用いることができる。例えば、モードとして、標準モードおよびシミュレーションモードなどが掲げられる。VM制御ストラテジを有効または無効にするために有効化(Enabled)ボックスを用いることができる。
プロセスツールからロードポート情報リストを入手するためにロード情報(Load Port)フィールドを用いることができる。ロードポート更新(Load
Port Update)ボタンはリフレッシュ機能として用いることができ、プロセスツールから現在のロードポート情報を入手するために使うことができる。
プロセスツールからシステムレシピリストを入手するためにシステムレシピ(System Recipe)フィールドを用いることができる。システムレシピ更新(System
Recipe Update)ボタンはリフレッシュ機能として用いることができ、プロセスツールから現在のレシピ情報を入手するために使うことができる。例えば、システムレシピ名などの1つまたはそれより多いコンテキストアイテムをマッチングさせることによってVM制御ストラテジを起動させるためにシステムレシピ名を用いることができる。
選択されたロードポートの移送ルートとシステムレシピをプロセスツールから入手するために、移送ルート(Transfer Route)フィールドを用いることができる。移送ルート更新(Transfer
Route Update)ボタンはリフレッシュ機能として用いることができ、プロセスツールから現在のレシピ情報を入手するために使うことができる。
選択されたロードポートの移送ルートとシステムレシピをプロセスツールから入手するために、移送ルート(Transfer Route)フィールドを用いることができる。例えば、ウエハを装置組込み型計測モジュール(IMM)にいつ移送するか、およびウエハをウエハプロセスモジュール(PMxx)内でいつ処理するかを決定するために、移送ルートを用いることができる。VMモデルの説明を提供するために説明(Description)フィールドを用いることができる。
移送ルートは、プレプロセス測定およびポストプロセス測定のためにウエハがIMモジュールに行くことを示すことができる。VMプロセスの間、1つまたはそれより多い装置組込み型計測モジュール(IMM)を使用できる。図示の実施形態では、移送モジュールは6段階のプロセス(IMM1−PM01−IMM1−PM02−LLM2−IMM1)を示しているが、これは本発明の要件ではない。別の実施形態では、別の移送ルートを使用することができ、別のモジュールを使用することができる。あるいは、仮想モジュール名を示すこともできる。
次の選択肢から計測データ異常時アクションを入力/編集するために、計測データ異常(Metrology Data Failure)フィールドを用いることができる:(1)
ツールプロセスレシピ使用(ノミナルレシピ)(Use Tool Process Recipe (Nominal Recip)): ソフトウェアがプロセスツールに指示を送り、プロセスツールはツールプロセスレシピを使用する
プロセスレシピ不使用(「ヌル」レシピ)(Do Not Use Process Recipe (Null Recipe)): ソフトウェアがウエハに対応するヌルレシピ情報をプロセスツールに送り、ウエハはチャンバに入り処理されることなくチャンバから出てくる
PM一時停止(PM Pause): プロセスモジュールを一時停止する
システム一時停止(System Pause): 移送システムを含むシステムを一時停止する。
次の選択肢から制御異常時オプションを入力/編集するために、制御異常(Control Failure)フィールドを用いることができる:
ツールプロセスレシピ使用(ノミナルレシピ)(Use Tool Process Recipe (Nominal Recip)): ソフトウェアがプロセスツールに指示を送り、プロセスツールはツールプロセスレシピを使用する
プロセスレシピ不使用(「ヌル」レシピ)(Do Not Use Process Recipe (Null Recipe)): ソフトウェアがウエハに対応するヌルレシピ情報をプロセスツールに送り、ウエハはチャンバに入り処理されることなくチャンバから出てくる
PM一時停止(PM Pause): プロセスモジュールを一時停止する
システム一時停止(System Pause): 移送システムを含むシステムを一時停止する。
ツールレベルおよび/またはシステムレベルコントローラは制御異常を検出できる。制御異常が発生すると、ツールプロセスレシピ(ノミナルレシピ)を使用するか、「ヌル」レシピを使用するか、VMプロセスを停止するか、プロセスモジュールを一時停止するか、またはシステム全体を一次停止するようにシステムを設定できる。
また、これらの付加的なコンテキストアイテムが必要なときに、付加的なコンテキストマッチングアイテムを提供するための多数の用途コンテキスト指定(Usage
Context Specification)フィールドを用いることができる。ロット識別名の入力/編集にLotID(s)フィールドを用いることができ、ウエハ識別名の入力/編集にWafer
ID(s)フィールドを用いることができ、制御ジョブ識別名の入力/編集にCJID(s)フィールドを用いることができ、プロセスジョブ識別名の入力/編集にPJID(s)フィールドを用いることができ、カセット識別名の入力/編集にCassette
ID(s)フィールドを用いることができ、キャリア識別名の入力/編集にCarrier ID(s)フィールドを用いることができ、スロット番号の入力/編集にSlot(s)フィールドを用いることができ、基材(基板)識別名の入力/編集にSubstrate
ID(s)フィールドを用いることができ、ウエハタイプの入力/編集にWafer Type(s)フィールドを用いることができる。
図12に示されるように、VM制御ストラテジ(VM Control Strategy)は1つまたはそれより多いVM制御プランを含むことができる。制御ストラテジ(Control
Strategy)画面を使用して、ユーザはVM制御ストラテジ(VM Control Strategy)設定の実施し、既存のVM制御ストラテジを閲覧し、新しいVM制御ストラテジを作成し、既存のVM制御ストラテジをコピーし、既存のVM制御ストラテジを編集し、既存のVM制御ストラテジを削除し、VM制御ストラテジをテストすることができる。例えば、一連のアクションを選択するためにドロップダウンリストを使用できる。
図13は、本発明の一実施形態にしたがう制御プランエディタ画面の例示的な図を示す。図13には、プロセスモジュール(PM01)を含むことができる仮想モジュールを処理するための制御プランのVM制御プラン(VM
Control Plan)画面が示されている。あるいは、他のプロセスモジュール(PMxx)および測定モジュール(IMxx)など、他のモジュールを用いることもできる。
VM制御プラン(VM Control Plan)を作成するために、ユーザはプラン名アイテムを選択し、新しい制御プランまたは既存のプランまたはモデルを選択することができる。例えば、VM制御ストラテジエディタ(VM
Control Strategy)画面上にドロップダウンメニューを表示させて追加プラン(Add Plan)集を選ぶことができる。
VM制御プランエディタ(VM Control Plan Editor)画面は多数のフィールドを備えることができる。プラン名(Plan
Name)フィールドは、VM制御プランの名称を入力/編集するために用いることができる。モジュール名を入力/編集するためにモジュール(Mofule)フィールドを用いることができる。例えば、プランとストラテジが関連している場合、モジュールフィールドが自動的に記入されるようにすることもできる。プランの関連付けを行なわなければ、プロセスモジュールまたは測定モジュールを選択するためにモジュールフィールドを用いることができる。レシピを入力/編集するためにレシピフィールドを用いることができる。例えば、プランとストラテジが関連していれば、レシピフィールドが自動的に記入されるようにすることもできる。プランが関連付けられていない場合、プロセスモジュールのプロセスレシピを選択するため、または測定モジュールの測定レシピを選択するために、このフィールドを用いることができる。
プランに関する説明を入力/編集するために説明フィールドを用いることができる。更新フィールドは前回のプラン変更時を示す。
データソースを入力/編集するためにデータテーブルを用いることができる。例えば、VMプランデータソース(VM Plan Data
Source)画面が開かれてもよい。データソーステーブルは、ソースタイプ、データソース説明、データソースパラメータ/値を含むことができる。例えば、選択されたソースタイプによってデータソース画面上に表示されるオプションが決まる。プロセスツールの一部である統合計測モジュールデータソースを定義するために”Telius
ODP”タイプを用いることができ;”所望出力(Desired Output)”タイプにより、ユーザはコントローラの固定単位を入力でき;”フィードバックオフセット(Feed−back
Offset)”タイプにより、ユーザは永続フィードバック変数を定義でき;”制御プラン値(Control Plan Value)”により、ユーザは別の制御プランの結果を参照する(入れ子型のプランを作成する)変数を作成でき;”統合計測サイトフィルタリング(Integrated
Metrology Site Filtering)”タイプは各データソースが選択されるときに各オプションの説明を含むテーブルを作成し;”コンテキストアイテム(ContextItem)タイプ”により、ユーザは、SlotId、WaferIdまたはウエハ番号などのコンテキストアイテムを参照する変数を作成できる。
シンボル(Symbol)ドロップダウンリストからシンボルを選択することができ、データソースタイプ(Data Source
Type)ドロップダウンメニューからソースタイプを選択することができる。例えば、選択されたデータソースに応じてデータソース情報フィールドが変化してもよい。
1つの入力データソース(d1)が示されているが、これは必要事項ではない。別の数の入力データソースを使用することができ、また、各入力データソースは別のシンボル値を有することができる。例えば、1つのデータソースはODPツールとすることができ、Teliusなどのプロセスツールの一部とすることができる。また、別のデータソースはSEMとすることができ、パラメータ/値(Parameter/Value)は、CD−SEMデータなどの実際の測定値とすることができる。
一般に、プロセス制御は、ウエハがプロセスモジュールに到着する前にウエハに関して測定された測定情報を用いてプロセスモジュールレシピをアップデートすることを含む。コントローラは種々の物理的モジュールに何回アクセスする必要があるかを求めるためにプレプロセスデータを用いることができる。所望のプロセス結果はモデル方程式の”y”値とすることができる。所望のプロセス結果”y”が正しい値のときにタスクが求められる。
VM制御プラン画面上の目標計算フィールドには目標計算を入力できる。例えば、目標計算はデータソースアイテムに相当するセットとすることができる。あるいは、一方のデータセットを他方のデータセットに相関させる方程式を入力することもできる。また、この目標計算は付加的な補償項を含むことができる。例えば、この付加補償係数は、ゲートスタックエッチング工程などの別の工程で生じた誤差を補正するために用いることができる。新しい目標値は実行時または実行時前に計算される変数とすることができ、この目標値を計算するために方程式が使われる。
また、下限値および上限値を用いることができ、これらの値は下限フィールドおよび上限フィールドに入力できる。例えば、新しい下限値および上限値は、実行時または実行時前に計算される定数または変数とすることができ、方程式を用いて新しい下限値および上限値を計算できる。
静的モデルおよび/または数式モデルを編集/入力するためにモデル選択フィールドを用いることができる。例えば、モデルタイプ選択アイテムの下で、テーブル内の選択アイテムを用いてモデルタイプを入力および/または編集できる。テーブルアイテムからドロップダウンリストを有効にすることができ、このドロップダウンリストから選択を行なうことができる。ドロップダウンリスト内のあるオプションにより、新しいモデルを作成できるようになる。また他のオプションを用いて、使用または修正する既存モデルを表示および選択することができる。各モデルタイプは、モデルタイプに関連するモジュール名、目標値、下限値、上限値、レシピ出力を備えることができる。新モデルを作成するときは、新モデルタイプを使用してモデルタイプフィールドに入力でき、新モデル名を使用してモデル名フィールドに入力できる。
新しい予測結果値を入力したり、既存の予測結果値を選択したりするために、予測結果計算フィールドを用いることができる。予測結果は、期待される結果を求める方程式とすることができる。例えば、名称、目標計算およびモデル選択情報が入力されると制御プランを保存できる。
#フィールドはモデルリストのモデルの番号を含む。モデルタイプにより、静的モデルまたは数式モデルを選択することが可能となる。モデル名(Model
Name)フィールドには、使用可能なモデルの名称が列挙される。例えば、新モデルを作成するために「新静的レシピ(New Static Recipe)」オプションまたは「新数式レシピ(New
Formula Recipe)」オプションをドロップダウンリストから選択することができる。1つまたはそれより多い静的レシピを含む静的制御プランを作成することができる。例えば、10またはそれより多い静的モデルを示すことができる。同じ目標値(t1)に対して複数の静的モデルが示されているが、これは必要事項ではない。別の数の静的および/または数式モデルを使用することができ、また、モデル毎に異なる目標値を有することができる。各静的レシピが使用されるときに新目標値を計算できる。図13に示されるように、複数の静的モデルは、上限値と下限値で定められる別々の有効範囲を有することができる。また、静的レシピモデルは別々の静的レシピ出力を有することができ、別々の静的レシピ出力は静的レシピ毎に求めることができる。
仮想モジュール制御プランは、静的モデルレシピ、または数式モデルレシピ、またはそれらの組合せを含むことができる。コントローラは、仮想モジュール用の制御プランを自動作成できる。プロセスレシピは1つまたはそれより多いプロセスを含むことができ、各プロセスは1つまたはそれより多いプロセスステップを備えている。プロセスレシピは、1つのチャンバで実施することも複数のチャンバで実施することもできる。プロセスレシピは、ノミナルレシピ、静的レシピおよび数式モデルのうちの少なくとも1つを用いて設定できる。
静的レシピは、特定のプロセス結果を達成するために用いられる単一レシピ調整セットとすることができる。静的レシピセットはテーブルベースコントローラをセットアップするために使用することができ、あるいは、同じレシピを使用する必要がある所望出力範囲を処理するために、静的レシピセットと数式モデルを一緒に用いることができる。静的レシピと一緒にフィードバックを使用する場合、使用される静的レシピ毎にただ1つの予測プロセス結果を制御プランで指定できる。数式モデルは、プレモデル調整、モデル方程式、一連のポストモデル調整、およびレシピパラメータ割当てマップを含むことができる。プレモデル調整により、所望のプロセス結果(通常はt1)をモデル方程式で使用されている正しい単位(yの値となる)に表し直すことができ、モデル方程式は、予測プロセス結果を1つの操作変数(x)の関数として計算する式とすることができる。このモデルが実行されると、再表現された所望のプロセス結果を(y)として、xの値を求める。xが求められれば、ポストモデル調整を計算することができ、これらの値を、レシピパラメータマップで指定された適切なレシピパラメータに割り当てる。
また、1つまたはそれより多いプロセスモデルを提供できる。プロセスモデルはプロセス空間を定義するために用いることができる。プロセスモデルは、所望結果(出力)と、これらの結果を達成する必要のある、受け取った変数との間の確認済みの関係を表す。プロセスモデルは、数式ベースのモデルを含むことができる方程式を含むことができる。数式ベースのモデルは、所望結果といくつかの評価済み実験データに基づいたレシピ変数との区分的関係を含む方程式を備えることができる。プロセスモデルは、線形とすることもできるし、非線形とすることができる。プロセスモデルは、新プロセスレシピを確認し、既存のプロセスレシピを更新するために用いることができる。
以上、本発明の特定の実施形態のみを詳細に説明したが、当業者には、本発明の新規な教示内容および利点から著しく逸脱せずにこれら実施形態に多くの変更例が可能であることがすぐに分かるであろう。したがって、そのような変更例はすべて本発明の範囲内に含まれるものとする。
本発明の一実施形態にしたがうプロセスシステムの例示的なブロック図である。 本発明の一実施形態にしたがうファクトリ統合構成の概略ブロック図である。 本発明の一実施形態にしたがう統合プロセスシステムの概略ブロック図である。 本発明の一実施形態にしたがう統合測定プロセスの概略フロー図である。 本発明の一実施形態にしたがうマルチステッププロセスシーケンスの概略フロー図である。 本発明の一実施形態にしたがう制御プロセスの概略ブロック図である。 本発明の一実施形態にしたがう物理的モジュールの例示的な関係図である。 本発明の一実施形態にしたがうプロセスシステムコントローラ操作方法のフロー図である。 本発明の別の実施形態にしたがうホストシステムコントローラ操作方法のフロー図である。 本発明の別の実施形態にしたがうプロセスシステムコントローラ操作方法のフロー図である。 本発明の別の実施形態にしたがうホストシステムコントローラ操作方法のフロー図である。 本発明の一実施形態にしたがう仮想モジュール(VM)制御ストラテジ画面の例示的な図である。 本発明の一実施形態にしたがう仮想モジュール(VM)制御プラン画面の例示的な図である。

Claims (36)

  1. ホストシステムとプロセスシステムとを含む半導体プロセスシステムのプロセスシステムコントローラを操作する方法であって、
    少なくとも1つのウエハの所望のプロセス結果および少なくとも1つのウエハのプロセスシーケンスを含む静的仮想モジュールプランを受け取る工程であって、前記プロセスシーケンスが複数の仮想モジュールオブジェクトを含み、かつ前記複数の仮想モジュールオブジェクトが、物理的モジュールへのウエハによるアクセスに関連するアクセスされた仮想モジュールオブジェクト、および/または、物理的モジュールへのウエハによる非アクセスに関連する非アクセス仮想モジュールオブジェクトを含む工程と、
    前記プロセスシーケンスを実行する工程と、
    前記プロセスシーケンスのアクセスされた仮想モジュールオブジェクトが実行されるときに、アクセスされた仮想モジュールデータを収集する工程と、
    前記プロセスシーケンスの非アクセス仮想モジュールオブジェクトが実行されるときに非アクセス仮想モジュールデータを収集する工程と
    を含む方法。
  2. 前記アクセスされた仮想モジュールのデータおよび前記非アクセス仮想モジュールのデータを前記ホストシステムへ送る工程をさらに含む請求項1に記載の方法。
  3. 前記プロセスシーケンスのアクセスされた仮想モジュールオブジェクトが実行されるときにアクセスされた仮想モジュール制御ストラテジを実行する工程であって、前記アクセスされた仮想モジュール制御ストラテジが1つまたはそれより多いアクセスされた仮想モジュール制御プランを備えている工程と、
    アクセスされた仮想モジュールデータ収集ストラテジを実行する工程であって、前記アクセスされた仮想モジュールデータ収集ストラテジが少なくとも1つのアクセスされた仮想モジュールデータ収集プランを備えている工程と
    をさらに含む請求項1に記載の方法。
  4. 前記プロセスシーケンスのアクセスされた仮想モジュールオブジェクトが実行されるときにアクセスされた仮想モジュール解析ストラテジを実行する工程であって、前記アクセスされた仮想モジュール解析ストラテジがアクセスされた仮想モジュール解析プランおよび/またはアクセスされた仮想モジュール判定プランを備えている工程
    をさらに含む請求項3に記載の方法。
  5. 前記プロセスシーケンスの前記アクセスされた仮想モジュールオブジェクト毎にプロセスレシピを決定する工程と、
    前記プロセスシーケンスの前記非アクセス仮想モジュールオブジェクト毎にプロセスレシピを決定する工程と
    をさらに含む請求項3に記載の方法。
  6. 前記プロセスシーケンスの非アクセス仮想モジュールオブジェクトが実行されるときに非アクセス仮想モジュール制御ストラテジを実行する工程であって、前記非アクセス仮想モジュール制御ストラテジが1つまたはそれより多い非アクセス仮想モジュール制御プランを備えている工程と、
    非アクセス仮想モジュールデータ収集ストラテジを実行する工程であって、前記非アクセス仮想モジュールデータ収集ストラテジが仮想モジュール非アクセスデータを収集する少なくとも1つの非アクセス仮想モジュールデータ収集プランを備えている工程と
    をさらに含む請求項1に記載の方法。
  7. 前記プロセスシーケンスの前記アクセスされた仮想モジュールオブジェクト毎にプロセスレシピを受け取る工程と、
    前記プロセスシーケンスの前記非アクセス仮想モジュールオブジェクト毎にプロセスレシピを受け取る工程と
    をさらに含む請求項1に記載の方法。
  8. 前記所望のプロセス結果が、トリミング量、エッチング量、および成膜量の少なくとも1つを含む請求項1に記載の方法。
  9. 前記物理的モジュールが、プロセスモジュール、測定モジュール、移送モジュール、および/または保持モジュールを含む請求項1に記載の方法。
  10. 前記プロセスモジュールが、エッチングモジュール、成膜モジュール、化学的酸化物除去モジュール、加熱モジュール、移送モジュール、冷却モジュール、および/または現像モジュールを含む請求項9に記載の方法。
  11. 前記測定モジュールが、光放射スペクトルモジュール、走査電子顕微鏡モジュール、光学デジタルプロファイルモジュール、および/または透過型電子顕微鏡モジュールを含む請求項9に記載の方法。
  12. ホストシステムとプロセスシステムとを含む半導体プロセスシステムのホストコントローラを操作する方法であって、
    少なくとも1つのウエハの所望のプロセス結果およびプロセスシーケンスを含む静的仮想モジュールプランを作成する工程であって、前記プロセスシーケンスが複数の仮想モジュールオブジェクトを含み、かつ前記複数の仮想モジュールオブジェクトが、物理的モジュールへのウエハによるアクセスに関連する仮想モジュールオブジェクト、および/または、物理的モジュールへのウエハによる非アクセスに関連する非アクセス仮想モジュールオブジェクトを含む工程と、
    前記静的仮想モジュールプランを前記プロセスシステムへ送る工程と
    を含む方法。
  13. ウエハの所望のプロセス結果を決定する工程と、
    前記所望のプロセス結果を達成するために前記ウエハが使用する1つまたはそれより多い物理的モジュールへの実際のアクセス回数を求める工程と、
    アクセス毎に、アクセスされた仮想モジュールオブジェクトを確立する工程と、
    前記所望のプロセス結果を達成するために前記ウエハが使用する物理的モジュールへの非アクセス回数を求める工程と、
    非アクセス毎に非アクセス仮想モジュールオブジェクトを確立する工程と、
    前記ウエハのプロセスシーケンス長を求める工程であって、前記プロセスシーケンス長が前記アクセス回数と前記非アクセス回数の合計に等しい、工程と
    をさらに含む請求項12に記載の方法。
  14. 前記所望結果を達成するためにウエハが使用できる1つまたはそれより多い物理的モジュールへのウエハによる最大アクセス回数を求める工程であって、前記最大アクセス回数がゼロ以上の整数である、工程と、
    前記ウエハのプロセスシーケンス長を求める工程であって、前記プロセスシーケンス長が最大アクセス回数に等しい、工程と
    をさらに含む請求項12に記載の方法。
  15. 前記所望のプロセス結果を達成するために前記ウエハが実際に使用する各物理的モジュールへのアクセス回数を求める工程と、
    アクセス毎に、アクセスされた仮想モジュールオブジェクトを確立する工程と、
    前記所望結果を達成するために前記ウエハが実際に使用する物理的モジュールへの非アクセス回数を求める工程であって、前記非アクセス回数がゼロ以上の整数である工程と、
    非アクセス毎に非アクセス仮想モジュールオブジェクトを確立する工程と
    をさらに含む請求項14に記載の方法。
  16. 前記プロセスシステムが前記プロセスシーケンスを実行し且つアクセスされた仮想モジュールオブジェクトが実行されるときに、アクセスされた仮想モジュールデータを受け取る工程と、
    前記プロセスシステムが前記プロセスシーケンスを実行し且つ非アクセス仮想モジュールオブジェクトが実行されるときに非アクセス仮想モジュールデータを受け取る工程と
    をさらに含む請求項12に記載の方法。
  17. 前記プロセスシーケンスの前記アクセスされた仮想モジュールオブジェクト毎にプロセスレシピを決定する工程と、
    前記プロセスシーケンスの前記アクセスされた仮想モジュールオブジェクト毎の前記プロセスレシピを前記プロセスシステムへ送る工程と
    をさらに含む請求項12に記載の方法。
  18. 前記プロセスシーケンスの非アクセス仮想モジュールオブジェクト毎にプロセスレシピを決定する工程と、
    前記プロセスシーケンスの前記非アクセス仮想モジュールオブジェクト毎の前記プロセスレシピを前記プロセスシステムへ送る工程と
    をさらに含む請求項12に記載の方法。
  19. 前記物理的モジュールがプロセスモジュール、測定モジュール、移送モジュールおよび/または保持モジュールを含む請求項12に記載の方法。
  20. ホストシステムとプロセスシステムとを含む半導体プロセスシステムのプロセスシステムコントローラを操作する方法であって、
    少なくとも1つのウエハの所望処理結果を前記ホストシステムから受け取る工程と、
    前記少なくとも1つのウエハの所望のプロセス結果を達成するために前記プロセスシーケンスが作成される前記少なくとも1つのウエハのプロセスシーケンスを含む動的仮想モジュールプランを作成する工程であって、前記プロセスシーケンスが複数の仮想モジュールオブジェクトを含み、前記仮想モジュールオブジェクトが、物理的モジュールへの前記少なくとも1つのウエハによるアクセスに関連する、アクセスされた仮想モジュールオブジェクト、および/または、物理的モジュールへの前記少なくとも1つのウエハによる非アクセスに関連する非アクセス仮想モジュールオブジェクトを含む、工程と、
    前記動的仮想モジュールプランを前記ホストシステムへ送る工程と
    を含む方法。
  21. 前記プロセスシーケンスを実行する工程と、
    アクセスされた仮想モジュールオブジェクトが実行されるときに、アクセスされた仮想モジュールデータを収集する工程と、
    非アクセス仮想モジュールオブジェクトが実行されるときに非アクセス仮想モジュールデータを収集する工程と
    をさらに含む請求項20に記載の方法。
  22. 前記アクセス仮想モジュールのデータおよび前記非アクセス仮想モジュールのデータのうちの少なくとも一方を送る工程をさらに含む請求項21に記載の方法。
  23. アクセスされた仮想モジュールオブジェクトが実行されるときにアクセスされた仮想モジュール制御ストラテジを実行する工程であって、前記アクセスされた仮想モジュール制御ストラテジが1つまたはそれより多いアクセスされた仮想モジュール制御プランを備えている工程と、
    アクセスされた仮想モジュールデータ収集ストラテジを実行する工程であって、前記アクセスされた仮想モジュールデータ収集ストラテジが少なくとも1つのアクセスされた仮想モジュールデータ収集プランを備えている工程と
    をさらに含む請求項21に記載の方法。
  24. アクセスされた仮想モジュールオブジェクトが実行されるときに、アクセスされた仮想モジュール解析ストラテジを実行する工程であって、前記アクセスされた仮想モジュール解析ストラテジがアクセスされた仮想モジュール解析プランおよびアクセスされた仮想モジュール判定プランの少なくとも一方を備えている工程
    をさらに含む請求項23に記載の方法。
  25. アクセスされた仮想モジュールオブジェクト毎にプロセスレシピを決定する工程と、
    前記アクセスされた仮想モジュールオブジェクト毎のプロセスレシピを前記ホストシステムへ送る工程と
    をさらに含む請求項23に記載の方法。
  26. 非アクセス仮想モジュールオブジェクトが実行されるときに非アクセス仮想モジュール制御ストラテジを実行する工程であって、前記非アクセス仮想モジュール制御ストラテジが1つまたはそれより多い非アクセス仮想モジュール制御プランを備えている工程と、
    非アクセス仮想モジュールデータ収集ストラテジを実行する工程であって、前記非アクセス仮想モジュールデータ収集ストラテジが仮想モジュール非アクセスデータを収集する少なくとも1つの非アクセス仮想モジュールデータ収集プランを備えている工程と
    をさらに含む請求項21に記載の方法。
  27. 非アクセス仮想モジュールオブジェクト毎にプロセスレシピを決定する工程と、
    前記非アクセス仮想モジュールオブジェクト毎のプロセスレシピを前記ホストシステムへ送る工程と
    をさらに含む請求項21に記載の方法。
  28. 前記プロセスシーケンスのアクセスされた仮想モジュールオブジェクトのプロセスレシピを受け取る工程と、
    前記非アクセス仮想モジュールオブジェクトの前記プロセスレシピを修正する工程と、
    前記修正されたプロセスレシピを前記ホストシステムへ送る工程と
    をさらに含む請求項21に記載の方法。
  29. 前記プロセスシーケンスの非アクセス仮想モジュールオブジェクトのプロセスレシピを受け取る工程と、
    前記非アクセス仮想モジュールオブジェクトの前記プロセスレシピを修正する工程と、
    前記修正されたプロセスレシピを前記ホストシステムへ送る工程と
    をさらに含む請求項21に記載の方法。
  30. 前記物理的モジュールがプロセスモジュール、測定モジュール、移送モジュールおよび保持モジュールのうちの少なくとも1つを含む請求項20に記載の方法。
  31. ホストシステムとプロセスシステムとを含む半導体プロセスシステムのホストコントローラを操作する方法であって、
    少なくとも1つのウエハの所望のプロセス結果を達成するために前記プロセスシーケンスが作成される前記少なくとも1つのウエハのプロセスシーケンスを含む動的仮想モジュールプランを前記プロセスシステムから受け取る工程であって、前記プロセスシーケンスが複数のアクセスされた仮想モジュールオブジェクトを含み、かつ前記複数の仮想モジュールオブジェクトが、物理的モジュールへの前記少なくとも1つのウエハによるアクセスに関連する物理的モジュールオブジェクト、および/または、物理的モジュールへの前記少なくとも1つのウエハによる非アクセスに関連する非アクセス仮想モジュールオブジェクトを含む工程と、
    前記動的モジュールプランを実行する工程と
    を含む方法。
  32. 前記プロセスシステムが前記プロセスシーケンスを実行し且つアクセスされた仮想モジュールオブジェクトが実行されるときにアクセスされた仮想モジュールデータを受け取る工程と、
    非アクセス仮想モジュールオブジェクトが実行されるときに非アクセス仮想モジュールデータを受け取る工程と
    をさらに含む請求項31に記載の方法。
  33. 前記プロセスシーケンスの少なくとも1つのアクセスされた仮想モジュールオブジェクトのプロセスレシピを前記プロセスシステムから受け取る工程と、
    前記少なくとも1つのアクセスされた仮想モジュールオブジェクトの前記プロセスレシピをデータベースへ送る工程と
    をさらに含む請求項31に記載の方法。
  34. 前記プロセスシーケンスの少なくとも1つの非アクセス仮想モジュールオブジェクトのプロセスレシピを前記プロセスシステムから受け取る工程と、
    前記少なくとも1つの非アクセス仮想モジュールオブジェクトの前記プロセスレシピをデータベースへ送る工程と
    をさらに含む請求項31に記載の方法。
  35. 前記プロセスシーケンスの少なくとも1つのアクセスされた仮想モジュールオブジェクトのプロセスレシピを前記プロセスシステムから受け取る工程と、
    前記少なくとも1つのアクセスされた仮想モジュールオブジェクトの前記プロセスレシピを修正する工程と、
    前記修正されたプロセスレシピを前記プロセスツールへ送る工程と
    をさらに含む請求項31に記載の方法。
  36. 前記プロセスシーケンスの少なくとも1つの非アクセス仮想モジュールオブジェクトのプロセスレシピを前記プロセスシステムから受け取る工程と、
    前記少なくとも1つの非アクセス仮想モジュールオブジェクトの前記プロセスレシピを修正する工程と、
    前記修正されたプロセスレシピを前記プロセスツールへ送る工程と
    をさらに含む請求項31に記載の方法。
JP2007529851A 2004-08-27 2005-06-30 仮想モジュールを用いた半導体処理方法 Expired - Fee Related JP4969446B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/927,500 US7212878B2 (en) 2004-08-27 2004-08-27 Wafer-to-wafer control using virtual modules
US10/927,500 2004-08-27
PCT/US2005/023945 WO2006025945A1 (en) 2004-08-27 2005-06-30 Semi conductor processing method using virtual modules

Publications (3)

Publication Number Publication Date
JP2008511988A true JP2008511988A (ja) 2008-04-17
JP2008511988A5 JP2008511988A5 (ja) 2008-08-14
JP4969446B2 JP4969446B2 (ja) 2012-07-04

Family

ID=34993328

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007529851A Expired - Fee Related JP4969446B2 (ja) 2004-08-27 2005-06-30 仮想モジュールを用いた半導体処理方法

Country Status (6)

Country Link
US (1) US7212878B2 (ja)
JP (1) JP4969446B2 (ja)
KR (1) KR101154658B1 (ja)
CN (1) CN101031851B (ja)
TW (1) TWI301232B (ja)
WO (1) WO2006025945A1 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9785140B2 (en) 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
US7873428B2 (en) * 2005-04-15 2011-01-18 PEER Intellectual Property, Inc. Automated job management
US7437445B1 (en) * 2004-03-30 2008-10-14 Emc Corporation System and methods for host naming in a managed information environment
US7451011B2 (en) * 2004-08-27 2008-11-11 Tokyo Electron Limited Process control using physical modules and virtual modules
KR100660861B1 (ko) * 2005-02-23 2006-12-26 삼성전자주식회사 반도체 공정 결과를 예측하고 제어하는 반도체 공정 제어장치
US7289867B1 (en) * 2005-06-08 2007-10-30 Advanced Micro Devices, Inc. Automated integrated circuit device manufacturing facility using distributed control
US7359759B2 (en) * 2005-10-31 2008-04-15 Taiwan Semiconductor Manufacturing Company Method and system for virtual metrology in semiconductor manufacturing
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
DE102006004408B4 (de) * 2006-01-31 2010-03-18 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Analysieren von standardmäßigen Anlagennachrichten in einer Fertigungsumgebung
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US8682466B2 (en) * 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US8725667B2 (en) * 2008-03-08 2014-05-13 Tokyo Electron Limited Method and system for detection of tool performance degradation and mismatch
US8190543B2 (en) 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US8396582B2 (en) 2008-03-08 2013-03-12 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8108060B2 (en) * 2009-05-13 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a wafer acceptance test (“WAT”) advanced process control (“APC”) with novel sampling policy and architecture
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
US9915940B2 (en) * 2011-10-31 2018-03-13 Applied Materials, Llc Bi-directional association and graphical acquisition of time-based equipment sensor data and material-based metrology statistical process control data
JP6214982B2 (ja) * 2013-09-19 2017-10-18 株式会社東芝 生産支援システム、生産支援方法、および生産支援プログラム
CN104460334B (zh) * 2013-09-23 2017-04-05 中芯国际集成电路制造(上海)有限公司 仿真方法以及仿真系统
US9064788B1 (en) * 2014-02-19 2015-06-23 Wafertech, Llc Statistical method for monitoring manufacturing equipment and processing operations
WO2018038892A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Self-healing semiconductor wafer processing
CN109375604A (zh) * 2018-12-11 2019-02-22 华经信息技术(上海)有限公司 一种实时质量预警及控制系统和方法
CN110989514B (zh) * 2019-11-21 2021-01-01 深圳市华星光电半导体显示技术有限公司 生产控制系统
JP7325356B2 (ja) * 2020-02-20 2023-08-14 東京エレクトロン株式会社 情報処理システム及びシミュレーション方法
US11853042B2 (en) * 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07297258A (ja) * 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
WO2002004886A1 (en) * 2000-07-08 2002-01-17 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
WO2004019147A2 (en) * 2002-08-20 2004-03-04 Tokyo Electron Limited Method for processing data based on the data context

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US200574A (en) * 1878-02-19 Improvement in insect-traps
US5914879A (en) * 1997-03-04 1999-06-22 Advanced Micro Devices System and method for calculating cluster tool performance metrics using a weighted configuration matrix
EP0973069A3 (en) * 1998-07-14 2006-10-04 Nova Measuring Instruments Limited Monitoring apparatus and method particularly useful in photolithographically processing substrates
EP2031638A3 (en) * 2000-07-07 2012-04-04 Tokyo Electron Limited A method of automatically resetting a processing apparatus
US6447370B1 (en) * 2001-04-17 2002-09-10 Speedfam-Ipec Corporation Inline metrology device
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6708129B1 (en) * 2001-12-13 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for wafer-to-wafer control with partial measurement data
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20040200574A1 (en) 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07297258A (ja) * 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
WO2002004886A1 (en) * 2000-07-08 2002-01-17 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
WO2004019147A2 (en) * 2002-08-20 2004-03-04 Tokyo Electron Limited Method for processing data based on the data context

Also Published As

Publication number Publication date
WO2006025945A1 (en) 2006-03-09
KR20070070164A (ko) 2007-07-03
CN101031851A (zh) 2007-09-05
JP4969446B2 (ja) 2012-07-04
KR101154658B1 (ko) 2012-06-08
WO2006025945A8 (en) 2007-06-21
CN101031851B (zh) 2011-08-03
TW200622538A (en) 2006-07-01
US20060047356A1 (en) 2006-03-02
TWI301232B (en) 2008-09-21
US7212878B2 (en) 2007-05-01

Similar Documents

Publication Publication Date Title
JP4969446B2 (ja) 仮想モジュールを用いた半導体処理方法
JP5033627B2 (ja) 仮想モジュールを用いた半導体処理方法
KR101158950B1 (ko) 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법
JP5014990B2 (ja) 数式ベースのラン・ツウ・ラン制御
KR101113203B1 (ko) 상태 추정 결과에 기초한 샘플링 레이트 조정
KR101032931B1 (ko) 에칭 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼 제어 방법
WO2006044016A2 (en) R2r controller to automate the data collection during a doe
WO2006041543A1 (en) Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
WO2006083919A1 (en) Iso/nested control for soft mask processing
KR20070117579A (ko) 런투런 제어기를 이용한 결함 검출 및 분류 방법과 시스템
US7236848B2 (en) Data representation relating to a non-sampled workpiece
US7296103B1 (en) Method and system for dynamically selecting wafer lots for metrology processing
US7153709B1 (en) Method and apparatus for calibrating degradable components using process state data
US7783455B1 (en) Methods and systems for analyzing process equipment processing variations using sensor data
US7340318B1 (en) Method and apparatus for assessing controller performance
US7263408B1 (en) Method and system for converting tool process ability based upon work in progress characteristics

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20080508

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080623

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080623

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111220

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120203

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120321

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120403

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150413

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees