JP2008511086A - 特徴の故障の補正 - Google Patents

特徴の故障の補正 Download PDF

Info

Publication number
JP2008511086A
JP2008511086A JP2007530511A JP2007530511A JP2008511086A JP 2008511086 A JP2008511086 A JP 2008511086A JP 2007530511 A JP2007530511 A JP 2007530511A JP 2007530511 A JP2007530511 A JP 2007530511A JP 2008511086 A JP2008511086 A JP 2008511086A
Authority
JP
Japan
Prior art keywords
feature
integrated circuit
failure
failure rate
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007530511A
Other languages
English (en)
Inventor
アバークロンビー,デイヴィッド
ジャハーンジール,ジェイ
Original Assignee
メンター・グラフィクス・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by メンター・グラフィクス・コーポレーション filed Critical メンター・グラフィクス・コーポレーション
Publication of JP2008511086A publication Critical patent/JP2008511086A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/02Fault tolerance, e.g. for transient fault suppression
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

集積回路設計における既知の特徴によって製造工程中に欠陥が生じる可能性を判定する手法を開示する。特定の前述の手法によれば、識別された設計特徴を組み入れた種々の論理ユニットが識別され、複数の前述の論理ユニットそれぞれにおいて設計特徴が生起する量が判定される。少なくとも前述の論理ユニットに対応する集積回路部分の故障率が次いで、得られる。次いで、特徴によって欠陥が生じる可能性を示す特徴故障係数が、故障率と、特徴の生起の量との相関化によって判定される。更に、特定の前述の手法を用いて、欠陥をもたらす可能性がより高い新たな設計特徴を識別する。特に、論理ユニットの故障率は、論理ユニットそれぞれにおいて生起する既知の特徴の量、及び、前述の論理ユニットに対応する集積回路部分の歩留まりに対するその予測される影響に基づいて予測される。前述の予測故障率が次いで、論理ユニットに対応する集積回路部分の実際の故障率と比較され、最大の差異を有する部分が識別される。

Description

(関連出願への相互参照)
本出願は、「Meeting Nanometer DPM Requirements Through DFT」と題するDavid Abercrombieらを発明者とする西暦2004年10月1日付け出願の米国仮出願第60/615,329号、の一部継続出願であり、その利益を主張する。
本発明は、集積回路の設計を支援するための種々の手法及びツールに関する。本発明の種々の局面は特に、検査データを用いて、集積回路の故障をもたらす可能性が最も高い集積回路の物理的特徴を判定することに適用可能である。
超小型回路デバイス(通常、「集積回路」と呼ばれる)は、自動車、マイクロ波からパソコンに至るまでの種々の製品に用いられている。集積回路の設計及び製造には、多くの工程(「設計フロー」として知られてきている)が関係する。前述の工程のうちの特定の工程は、超小型回路のタイプ、複雑度、設計チーム、及び集積回路の製造業者又はファウンドリによって変わってくる。いくつかの工程が、設計フロー全てに共通している。まず、設計仕様が論理的に、通常、ハードウェア設計言語(HDL)においてモデリングされる。ソフトウェア及びハードウェアの「ツール」は次いで、ソフトウェア・シミュレータ及び/又はハードウェア・シミュレータを実行することによって設計フローの種々の段階における設計を確認し、設計において補正すべきエラーが補正される。
論理設計の精度が確認された後、論理設計は合成ソフトウェアによって設計データに変換される。設計データ(多くの場合、「ネットリスト」と呼ばれる)は、所望の論理的結果を達成する特定の電子デバイス(トランジスタ、抵抗器やコンデンサなど)、及びそれらの相互接続を表す。タイミングの予備的な推定をこの段階で、デバイス毎に仮定された特性速度を用いて行うこともできる。この「ネットリスト」は、通常の回路図に表示される表現のレベルに相当するとみなすことも可能である。
回路エレメント間の関係が一旦設定されると、設計は、特定の幾何学的エレメントを記述する物理的な設計データにもう一度変換される。前述の幾何学的エレメントによって、回路エレメントを形成するために種々の材料において作成される形状が規定される。カスタム・レイアウト・エディタ(メンター・グラフィックス社のICステーション(IC Station)やケイデンス社のヴァーチュオソ(Virtuoso)など)が通常、このタスクに用いられる。自動配置配線ツールを用いて、物理レイアウト(特に、論理エレメントの相互接続に用いる配線)を規定することも可能である。
よって、物理設計データは、通常、フォトリソグラフィック・プロセスによって、所望の超小型回路デバイスを製造するのに用いるマスク上に書き込まれるパターンを表す。集積回路の各層は、対応する層表現を物理データベース内に有しており、その層表現におけるデータによって表される幾何学的形状は、回路エレメントの相対的な場所を規定する。例えば、注入層の層表現の形状は、ドーピングが行われる領域を規定する。相互接続層の層表現内の線形状は、エレメントを接続するための金属線の場所を規定する、等である。製造用設備又は「マイクロチップ製作工場」は次いで、マスクを用いて集積回路を製造する。各マイクロチップ製作工場は、自らのプロセス、機器及び手法に準拠するために、それ自身の物理設計パラメータを規定する。
超小型回路デバイスの重要性が高まるにつれ、設計者及び製造業者は、そうしたデバイスを改良し続けている。例えば、超小型回路デバイスの製造業者によって毎年、超小型回路デバイス(プログラム可能マイクロプロセッサなど)の高度化や小型化を可能にする新たな手法が開発されている。50千万個を超えるトランジスタ(多くは、寸法が90nmしかない)を有するマイクロプロセッサが現在製造されている。マイクロ回路デバイスの高度化、及びその回路エレメントの小型化に伴って、更に、マイクロ回路デバイスを高精度で製造することがより難しくなってきている。例えば、通常の超小型回路デバイスは、何百万もの別々の接続を有している場合があり、単一の接続切断又は短絡接続によっても、超小型回路の動作に障害が生じ得る。
製造工程中に生じる欠陥の数を削減することによって集積回路の製造歩留まりを増加させることは伝統的には、集積回路を製造するマイクロチップ製作工場の責任となっている。通常、マイクロチップ製作工場は、初期製造ラン後に欠陥を識別し、次いで、識別された欠陥がないようにし、集積回路の製造歩留まりを向上させることを期待して、後続製造ラン用の製造工程又は製造機器において変更を行う。ナノメートルの形状寸法で構成される現代の集積回路の設計及び製造の工程の複雑度は、欠陥数の劇的な上昇につながった。しかし、これは、設計と工程との間の相互作用の結果としてしか生じない。その結果、前述の新たなタイプの欠陥を削減する、工程又は機器における変更をマイクロチップ製作工場が識別することは一層難しくなってきている。多くの場合、前述の新たな欠陥タイプは、製造工程中でも検出は、難し過ぎるか、又は費用がかかる。
効果的には、本発明の実施例は、集積回路設計における既知の特徴によって製造工程中に欠陥が生じる可能性を判定する手法を提供する。特に、本発明の特定の実現形態は、識別された設計特徴を組み入れた種々の論理ユニットを識別し、複数の上記論理ユニットそれぞれにおいて設計特徴が生起する量を判定する。更に、前述の実現形態は次いで、少なくとも上記論理ユニットに対応する集積回路部分の故障率を得る。次いで、特徴によって欠陥が生じる可能性を示す特徴故障係数が、故障率と、特徴の生起の量との相関化によって判定される。
本発明の特定の実現形態は更に、欠陥をもたらす可能性がより高い新たな設計特徴を識別するのに用いることが可能である。本発明の前述の例によって、論理ユニットの故障率は、論理ユニットそれぞれにおいて生起する既知の特徴の量、及び、前述の論理ユニットに対応する集積回路部分の歩留まりに対するその予測される影響に基づいて予測される。前述の予測故障率が次いで、論理ユニットに対応する集積回路部分の実際の故障率と比較され、最大の差異を有する部分が識別される。1つ又は複数の前述の集積回路部分は次いで、部分の故障をもたらした構造的欠陥を物理的に識別するために、例えば、故障解析検査を用いて検査することが可能である。既知の特徴に対応しない構造的欠陥が検査によって発見された場合、欠陥を表す設計特徴が識別される。故障率の確率値を次いで、この新たに識別された特徴について判定することが可能である。このプロセスは、論理ユニットの予測故障率が、対応する集積回路部分の実際の故障率に十分一致するまで繰り返すことができる。
よって、本発明の種々の例を用いて、集積回路の製造歩留まりに対して最大の影響を及ぼす、集積回路設計における前述の特徴を識別することが可能である。この情報に基づいて、設計者は、設計から製造される集積回路の歩留まりが削減される可能性がより高くなる前述の設計特徴の利用を削減又は回避するよう集積回路設計を変更することができる。
[実施例]
概要
本発明の別々の実施例は、集積回路設計において指定される特徴によって製造工程中に欠陥が生じる可能性を判定する手法を提供する。本発明の特定の実現形態は、欠陥をもたらす可能性が高い、先行して認識されていない1つ又は複数の更なる設計特徴を識別することもできる。よって、本発明の種々の例を用いて、設計者は、集積回路の製造歩留まりに対して最大の影響を及ぼす、集積回路設計における前述の特徴を識別することが可能である。設計者は次いで、この情報を用いて、設計から製造される集積回路の歩留まりが削減される可能性がより高くなる設計特徴の利用を削減又は回避するよう集積回路設計を変更することが可能である。
本発明の種々の実施例によれば、設計者は当初、特徴故障相関化ツールによる解析のための設計特徴を特定する。例えば、別個の設計属性識別ツールによって供給される情報を用いて、特徴故障相関ツールは、特定された設計特徴を組み入れた、1つ又は複数の集積回路設計における種々の論理ユニットを識別する。以下に更に詳細に記載するように、論理ユニットは、利用可能な検査情報に対応する、集積回路設計の特定の階層化部分集合である。特徴故障相関化ツールは更に、各論理ユニットにおいて特定された設計特徴が生起する量を判定する。更に、特徴故障相関化ツールは、論理ユニットの一部又は全部に対応する実際の集積回路部分の故障率を判定する。この情報は、例えば、別個の検査情報ツールから得ることができる。
次に、特徴故障相関化ツールは、集積回路部分の故障率を、対応する論理ユニットそれぞれにおいて特徴が生起する量と相関化させて、特徴の故障係数を判定する。この特徴故障係数はその場合、集積回路の設計において特徴が生起する量に基づいた、集積回路の歩留まり率を反映する。特徴故障係数は、将来の利用のためにデータベースに記憶することが可能である。本発明の特定の実現形態では、特徴故障相関化ツールは、あるいは、又は更に、例えば、パレート図を用いて、別々の特徴について特徴故障係数に関連した歩留まり影響の相対的な大きさを表示することができる。設計者はよって、特徴故障係数に関連した歩留まり影響の相対的な大きさを用いて、設計から製造される集積回路の歩留まりに対する影響が最も大きい、集積回路内の特徴を判定することが可能である。
本発明の特定の例によって更に、集積回路の歩留まりにかなり影響を及ぼす、集積回路設計内の未知の特徴を見つけることができる。前述の実施例は例えば、本発明による、特徴故障補正ツールの一部又は全部を組み入れた特徴識別ツールを提供することができる。特徴識別ツールは、既知の特徴について先行して判定された特徴故障係数を用いて、種々の論理ユニットの故障率を予測する。特徴識別ツールは次いで、予測故障率を実際の故障率と比較して、故障率の差異が最大の集積回路部分を判定し、更なる解析のためにこの集積回路部分を識別する。
例えば、特徴識別ツールは、物理的な検査のために故障解析ツールに対して、最大の故障率差異を有する集積回路部分を明らかにすることができる。これによって、故障解析検査ツールによって発見された物理的な欠陥が、既知の故障係数を有する設計特徴によってもたらされるのではなく、代わりに、先行して認識されていない設計特徴によってもたらされる可能性が最大になる。先行して認識されていない設計特徴によってもたらされた欠陥を故障解析ツールが発見した場合、この新たな設計特徴を、特徴故障相関化ツールによって識別し、処理してその特徴故障係数を判定することが可能である。このプロセスは次いで、集積回路の歩留まりに対してかなりの影響がある設計特徴全てが識別され、それらの特徴故障係数が判定されるまで繰り返すことが可能である。
本発明の特定の例では、特徴識別ツールは、集積回路部分の実際の故障率と、予測故障率とを比較して、未知の1つ又は複数の特徴に関連した残りの歩留まり影響を判定することができる。特徴識別ツールは例えば、この残りの影響の大きさを、パレート図における既知の特徴からの歩留まり影響と比較することができる。未知の1つ又は複数の特徴についての歩留まり影響間の差異を、既知の設計特徴の歩留まり影響と比較することによって、ユーザは、集積回路設計の歩留まりに影響を及ぼす、先行して未知の設計特徴を識別し続けるか否かを判定することが可能である。
特徴抽出
前述の通り、本発明の種々の例は、特定された設計特徴を組み入れた1つ又は複数の論理ユニットを識別する情報、及び、各論理ユニットにおいて特定された設計特徴が生起する量を利用する。本明細書及び特許請求の範囲では、設計特徴は、設計から製造された集積回路内の物理構造に対応する、集積回路設計内の何れかの属性又は属性群であり得る。例えば、設計特徴は、2つの金属層間のビア、接続する金属線、特定の長さの接続する金属線、特定の形状の接続(例えば、L形状の接続)、隣接した一対の導電線、導電線に隣接しており、特定の距離内のビア等、を表し得る。よって、集積回路内の1つ又は複数の属性によって作成される物理構造は何れも、設計特徴によって表すことが可能である。
更に、何れのタイプの所望の分類を用いても設計特徴を規定することができる。例えば、設計特徴は、設計ルール・パラメータ、プロセス・モデル・パラメータ(例えば、光強度の規定)、幾何学的な記述(例えば、リソグラフィ印刷画像)や、パターン・マッチングのための1つ又は複数のパターンを用いて規定することが可能である。よって、物理構造に対応する集積回路設計の1つ又は複数の属性を一意に識別するのに用いることが可能な何れかの手法を用いて設計特徴を規定することが可能である。
論理ユニットはその場合、集積回路設計の、何れかの所望の階層化部分集合であり得る。例えば、論理ユニットは、配置配線プロセス中に集積回路設計を形成するのに用いるブロックであり得る。論理ユニットは、合成プロセス中に物理回路エレメントを生成するのに用いるネットリスト、又はネットリスト対であり得る。また更に、論理ユニットは、特定のゲート又はフロップとの間で信号の供給又は受信を行う論理回路エレメントのコーンであり得るか、又は、特定の走査レジスタ組によって検査される論理回路エレメント群でもあり得る。設計から製造される集積回路はその場合、論理ユニットによって表される物理部分を有する。
本発明によって利用される特定のタイプの論理ユニットは、利用可能な検査情報によって判定することができる。以下に詳細に説明するように、本発明の種々の例は、論理ユニットに対応する集積回路部分の故障率を、設計特徴が生起する量と関係付ける。よって、本発明の種々の実施例は、検査情報によって故障率が高精度で測定された論理ユニットを利用する。本発明の特定の例では、特徴故障係数の判定に利用される論理ユニットは、同じ階層レベルのものである。よって、ブロックの故障率は、ネットリストの故障率と同じ相関化プロセスにおいて用いられない。しかし、本発明のなお別の例では、全体が重なる訳でない別々の階層レベルの論理ユニットを同じ相関化プロセスにおいて一緒に用いることができる。
本発明の種々の実施例では、ユーザ(すなわち、本発明の手法を利用する設計者や他の個人)は当初、解析のために設計特徴を特定する。特定の構造(小さなビアや長い導電線など)の故障の可能性が、他の構造の故障の可能性よりも高くなることを当業者は認識するであろう。よって、ユーザは、故障の可能性が比較的高い構造を規定する設計特徴を規定する。
次に、ユーザは、故障解析手法によって得られる検査情報が生起する複数の論理ユニットを識別する。本発明の特定例では、論理ユニットは、相関化プロセス中の雑音を削減するために、規定された設計特徴が少なくとも1つ生起することを組み入れた論理ユニットに限定することができる。更に、論理ユニット毎に、ユーザは、組み入れる、特定された設計特徴が生起する量を判定する。論理ユニット、及び、各論理ユニットに組み入れられる、特定された設計特徴が生起する量は、例えば、設計属性抽出ツールを用いて判定することができる。前述の設計属性抽出ツールの一例は、メンター・グラフィックス社(オレゴン州ウィルソンビル)から入手可能なキャリバー(CALIBRE)ソフトウェア・プロダクトである。
設計属性抽出ツール101の動作を図1に示す。この図において分かるように、設計属性抽出ツール101は、1つ又は複数の論理回路設計103、対応する1つ又は複数の物理回路設計105、設計特徴を規定する設計特徴規定107、検査情報が利用可能なタイプの1つ又は複数の論理ユニットを規定する論理ユニット規定109を受け取る。この入力から、設計属性抽出ツール101は、特定された設計特徴が少なくとも1つ生起することを組み入れた複数の論理ユニットを判定することが可能である。論理ユニットは、単一の集積回路設計から、又は、別々の複数の回路設計から得ることができる。よって、特定された設計特徴を組み入れたネット(例えば、メモリ・レジスタを表すネット)が、別々のいくつかの集積回路設計に用いられている場合、そのネットの利用それぞれを、相関化プロセスにおいて本発明によって利用することができる。
設計属性抽出ツール101は、論理ユニットにおいて特定された特徴が生起する量を判定するための測定基準111も受け取る。この量は、いかなる所望の基準(離散的生起の数、頻度、長さ、面積、又は全周をはじめとする)も用いて決定することが可能である。例えば、設計特徴がビアを規定する場合、特徴の生起の量は、論理ユニット内の離散的なビアの数であり得る。一方、設計特徴が、隣接した導電線に対応する場合、この特徴の生起の量は、線が隣接している長さであり得る。あるいは、この特徴の生起の量は、線間の距離であり得る。
例えば、一論理ユニットにおいて隣接する線は、12ミクロンの長さにわたって隣接しており、1ミクロンの距離、隔てられていることがあり得る。別の論理ユニットでは、対応する線が、3ミクロンのみにわたって隣接し、間隔距離が5ミクロンである場合がある。特徴量の生起が、隣接している長さに基づいて測定される場合、第1の論理ユニットはよって、12の生起量を有し得る。一方、第2の論理ユニットはその場合、3の生起量を有する。しかし、特徴生起量が、線を隔てている距離に基づいて測定される場合、第1の論理ユニット内の生起の量は1であり得る。その場合、第2の論理ユニット内の生起量は5になる。よって、生起量は、設計における特徴の利用と、設計から製造される集積回路の歩留まりに特徴が影響を及ぼす可能性との関係を測定することができる何れかの所望の基準を用いて測定することが可能である。
論理回路設計103、設計特徴規定105、論理ユニット規定107、及び測定基準109に基づいて、設計属性抽出ツール101は、特定された特徴を組み入れた論理ユニット毎に特徴生起量113を供給する。本発明の特定の実施例では、設計属性抽出ツ―ル101の利用及び動作が、本発明の実現形態に組み入れられる。しかし、本発明の更に別の実施例では、設計属性抽出ツ―ル101の利用及び動作は、本発明の実現形態と別個であり、独立したものであり得る。前述の実施例の場合、特徴故障相関化ツールは単に、特徴生起量113を未処理データとして受け取ることができる。
検査診断
前述の通り、本発明の実施例によって利用される手法は、論理ユニットの故障率と、設計特徴の生起量との相関化を行う。故障率は、何れかの通常の検査手法を用いて得ることができる。例えば、検査情報は、集積回路の物理的な検査によって得ることができる。あるいは、検査情報は、「検査」集積回路の製造によって得ることができる。検査集積回路は、特定の機能を何ら行うものでない。その代わり、検査集積回路は、単一の構造(トランジスタやゲートなど)の複数の生起を含むに過ぎない。よって、検査集積回路を用いて論理ユニットの複数の生起を検査することが可能である。しかし、通常、前述のタイプの検査は、複数の論理ユニットの故障率を高精度で相関化するのに十分な検査情報を供給するには遅すぎ、費用がかかりすぎる。
その代わり、本発明の種々の実施例は、集積回路に埋め込まれた検査回路から得られる検査情報を利用する。
このタイプの検査(場合によっては、埋め込み検査と呼ばれる)は、内部レジスタ(フロップなど)の連鎖、又は「スキャン・チェーン」を利用して、集積回路の動作中に種々のゲート及びネットの場所における値を記録する。よって、スキャン・チェーンは、集積回路の小部分の動作の正誤を検出する何千もの場所を提供することができる。通常、スキャン・チェーンは、集積回路内の欠陥によってもたらされる故障データが複数のスキャン・チェーンによって捕捉されるようなパターンに配置される。このタイプの検査の特定の実現形態では、検査回路は集積回路に内蔵されており、回路はよってそれ自体を解析する。このタイプの検査は、内蔵自己検査(BIST)と呼ばれている。
このタイプの検査の更に別の実現形態(「確定検査」と呼ばれる)では、スキャン・チェーンの値には、検査パターンと呼ばれる予め設定されたデータによってロードされる。スキャン・チェーンによって記録された値変更は次いで、解析のために、集積回路から検査診断ツール(自動検査パターン生成(ATPG)ツールなど)にダウンロードされる。検査パターンを用いた、複数の場所における誤ったデータの記録と、集積回路の設計情報とを解析することによって、ATPGツールは集積回路内の欠陥を識別することが可能である。更に、ATPGツールは、回路の特定の部分集合(特定のブロックやネット)に欠陥を局所化させることが可能である。したがって、このタイプの埋め込み検査はよって、集積回路の特定の部分に対応する設計における論理ユニットの故障率を判定するのに用いることが可能である。
埋め込み確定検査における最近の改良によって、検査を行うことが可能な速度が増加した。例えば、メンター・グラフィックス社(オレゴン州ウィルソンビル)から入手可能なTestKompress検査ツール及びYieldAssistの検査ツールによって、圧縮度が高い検査パターン組(検査パターン組の元のサイズに対して最小100分の1であり得る)が作成される。上記圧縮度が高い検査パターン組は次いで、伝統的な埋め込み検査と同様にATPGツールから集積回路に入力される。集積回路内のデコンプレッサは次いで、多数の内部スキャン・チェーンに供給するために、完全に特定されたパターンに、圧縮度の高いパターンを展開する。パターンが一旦供給されると、スキャン・チェーンからのデータを、従来の非圧縮ATG検査として、ちょうど同じクロッキング系列を用いて捕捉することができる。よって、非圧縮ATPGパターンによって施すことが可能な故障モデル及びパターン・タイプは全て、EDT検査手法によって施すことも可能である。スキャン・チェーンが、特定された回路動作データを捕捉した後、集積回路内のコンパクタは、集積回路から検査ツールにダウンロードされるにつれてデータを圧縮する。したがって、EDT検査手法によって、集積回路が、従来のATPG検査処理と同量の検査時間内に100倍多くの検査パターンを効果的に実行することが可能になる。
図2は、本発明の種々の例による検査情報ツール201の一例を示す。以上から分かるように、このツール201は、例えば、ATPG検査ツールを用いて実現することができる。この図において分かるように、ツール201は、集積回路の論理回路設計に関する情報103を受け取る。論理回路設計情報103から構成される検査パターン・データ205に応じて生成することができるデバイス検査結果203も受け取る。これに応じて、検査診断ツール201は、集積回路設計の論理ユニットに対応する集積回路部分の故障の時点を識別する。これから、検査情報ツール201は、論理ユニットに対応する集積回路部分の故障率207を蓄積し、供給することが可能である。
前述の埋め込み検査手法を用いて検査可能な回路設計の階層レベルは、検査に利用可能な時間によって変わってくることがあり得る。例えば、高い階層レベル(ブロックなど)における論理ユニットに欠陥を隔離するために、ATPGツールは、検査中にスキャン・チェーンの動作を制御するために百の検査パターンをダウンロードし、次いで、前述の検査パターンから記録されたスキャン・チェーン・データをダウンロードする必要があり得る。しかし、低い階層レベル(ネットリストなど)における論理ユニットに欠陥を隔離するために、ATPGツールは、検査中にスキャン・チェーンの動作を制御するために数千の検査パターンをダウンロードし、次いで、前述の検査パターンから記録されたスキャン・チェーン・データをダウンロードする必要があり得る。前述のEDT検査手法は、固定期間にわたって実行可能なテスト・パターンの量を大きく向上させた一方、検査速度はなお、本発明の種々の例によって利用される論理ユニットの階層レベルを判定することができる。前述の通り、本発明の種々の例は、論理ユニットの故障率を、上記論理ユニットに利用可能な検査情報に基づいて解析する。よって、低い階層レベル(例えば、特定のゲートに供給する論理回路エレメントのコーン)における論理ユニットの故障率を測定することができる一方、前述の低い階層レベルの論理ユニットの検査は、本発明によって用いる前述の論理ユニットの故障率を判定するのになお時間がかかり過ぎる場合がある。更に、低い階層ユニットの故障データは、統計的に有用であるうえで十分な数の故障を含んでないことがあり得る。よって、比較的に高いレベルの階層の論理ユニット(ブロックなど)が、統計的に測定可能な故障率を有し得る一方、低レベル階層ユニット(ネットなど)は、比較的大きな検査人口にわたってもその実際の故障率を測定可能でないことがあり得るほど故障の頻度が低いことがあり得る。
また更に、故障は、集積回路の最初の検査時に生じる「ゼロタイム」故障であり得るか、又は。実装後検査データであり得る。故障は更に、信頼性検査から生じる故障、又は統計的後処理によって検出される故障であり得る。更に、本発明の特定の例では、故障は、完全な(例えば、破局的な)故障であり得る一方、本発明の更なる例には、部分的な故障もあり得る。
更に、本明細書及び特許請求の範囲では、故障率の語は、集積回路部分、又は、集積回路に対応する論理ユニットの正常状態又は故障状態を測定する何れかの基準を表す。よって、製造された集積回路の合計数に対する故障集積回路の比を文字通りに表すことに加え、本明細書及び特許請求の範囲記載の故障率の語は、歩留まり率(すなわち、製造された集積回路の合計数に対する非故障集積回路の数)も包含する。よって、当業者に分かるように、本発明の種々の例では、本明細書記載の何れのプロセス、ツール又はデータセットにおいても故障率を歩留まり率によって置き換えることができる。
動作環境
本発明の特定の例は、アナログ回路、又は構成されたデジタル回路を用いて実現することができる。しかし、本発明の以下の記載から明らかなように、本発明の種々の実施例は通常、プログラム可能なコンピューティング・デバイス、ネットワーク経由などで互いに協調しているプログラム可能コンピューティング・デバイスの組み合わせによって実施される。したがって、前述のコンピューティング・デバイス301の例証的な例は、図3に示す。この図にみられるように、コンピューティング・デバイス301はコンピューティング装置303を有する。コンピューティング装置303は通常、処理装置305及びシステム・メモリ307を含む。処理装置305は、ソフトウェア命令を実行する何れかのタイプの処理デバイスであり得るが、通常は、マイクロプロセッサ・デバイスである。システム・メモリ307は、リード・オンリー・メモリ(ROM)309もランダム・アクセス・メモリ(RAM)311も含み得る。当業者が分かるように、リード・オンリー・メモリ(ROM)309もランダム・アクセス・メモリ(RAM)311も、処理装置305による実行のためのソフトウェア命令を記憶し得る。
処理装置305及びシステム・メモリ307は、1つ又は複数の周辺装置に、バス313又は別の通信構造を介して直接的又は間接的に接続される。例えば、処理装置305又はシステム・メモリ307は、1つ又は複数の更なるメモリ記憶デバイス(ハード・ディスク・ドライブ315、取り外し可能磁気ディスク・ドライブ317、光ディスク・ドライブ319やフラッシュ・メモリ・カード321など)に直接又は間接に接続され得る。処理装置305又はシステム・メモリ307は、1つ又は複数の入力デバイス323及び1つ又は複数の出力デバイス325に直接又は間接に接続され得る。入力デバイス323は、例えば、キーボード、ポインティング・デバイス(マウス、タッチパッド、スタイラス、トラックボールやジョイスティックなど)、スキャナ、カメラやマイクロフィンを含み得る。出力デバイス325は、例えば、モニタ・ディスプレイ、プリンタやスピーカを含み得る。
特定の実現形態では、コンピューティング装置303は、ネットワークと通信するために1つ又は複数のネットワーク・インタフェース327と直接又は間接に接続することができる。ネットワーク・インタフェース327は、1つ又は複数の通信プロトコル(伝送制御プロトコル(TCP)やインターネット・プロトコル(IP)など)によって、コンピューティング装置303からのデータ信号及び制御信号をネットワーク・メッセージに変換する。前述のプロトコルは、当該技術分野において周知であり、よって、本明細書では更に詳細に記載しないものとする。インタフェース327は、ネットワーク(例えば、無線トランシーバ、モデムやイーサネット(登録商標)接続をはじめとする)に接続する何れかの適切な接続エージェント(又はエージェントの組み合わせ)を利用することができる。
1つ又は複数の前述の周辺装置は、コンピューティング装置303及びバス313とともに収容することができる。あるいは、又は更に、1つ又は複数の前述の周辺装置は、コンピューティング装置303及びバス313とは別個に収容し、次いで、(直接又は間接に)バス313に接続することができる。更に、コンピュータ、及びコンピューティング機器は、図3に示す構成部分の何れかを含み得るか、あるいは、図3に示す構成部分の部分集合のみを含み得るか、あるいは、図3に示していない特定の構成部分をはじめとする、構成部分の別の組み合わせを有し得る。
特徴故障相関化
図4は、本発明の種々の例によって実現することができる特徴故障相関化ツール401の例を示す。この図にみられるように、特徴故障相関化ツール401は、特徴故障係数407を併せて出力する相関化モジュール403及び特徴故障係数データベース405を含む。本発明の種々の例では、特徴故障相関化ツール401は任意的には、故障係数パレート図を供給する係数パレート図生成モジュール409も含む。特徴故障相関化ツール401の動作を、図5A乃至図5Cに示す流れ図を参照して説明する。
当初、工程501では、ユーザは、解析のための特徴を選択する。前述の通り、他の構造よりも欠陥をもたらす可能性が高い種々の構造(小さなビアや長い導電線)を当業者は分かっている。よって、ユーザは、故障の可能性が比較的高い構造を規定する設計特徴を特定する。次に、工程503では、特徴故障相関化ツール401は、論理ユニットに対応する種々の回路部分の故障率203を判定する。上記にて詳細に記載したように、前述の故障率203(歩留まり率の形式で表すことができる)は、検査診断ツール(ATPGツールなど)から得ることによって判定することが可能である。やはり前述の通り、集積回路部分故障率は、各種集積回路設計による論理ユニットに対応し得る。
工程505では、特徴故障相関化ツール401は、特定された特徴を組み入れた論理ユニット、及び各論理ユニット内の特徴の生起の量を判定する。やはり、前述の特徴生起量203(特定された特徴を組み入れた論理ユニットを固有に識別する)は、上記に詳細に説明したように、設計属性抽出ツールからこの情報を得ることによって判定することができる。
次いで、工程507では、相関化モジュール403は、判定された故障率(歩留まり率として表し得る)を、特徴の生起の量と相関化して、特定された特徴の1つ又は複数の故障係数407を判定する。特に、相関化モジュール403は、
故障率=f(x)
(式(1))を最も好適に満たす特徴故障関数fを判定する。
ここで、fは、1つ又は複数の特徴故障係数によって規定される関数であり、xは、特徴の生起の量である。本発明の種々の例では、相関モジュール403は、例えば、通常のコンピュータ・デバイス上で実行する通常の統計分析ソフトウェア・アプリケーションを用いて実現することができる。
図6及び図7は、この相関化プロセスの例を例証するグラフを示す。特に、図6は、論理ユニットの歩留まり率を、論理ユニットに組み入れられたビアの数と相関化することによって算出した相関線601を示す。相関線601の傾きは、各特徴の近似の故障率を表す、グラフで示されたデータ点の線形フィットに対応する。データ点における線601からの散らばりは、各論理ユニット内の他の故障機構全ての歩留まり影響によってもたらされる。同様に、図7は、2本の線間の距離が最小の論理ユニットの歩留まり率を相関化することによって算出された相関線701を示す(すなわち、特徴は、隣接した2本の配線であり、特徴の量は、2本の線間の距離によって測定される)。
前述の例は、線形フィットを備える特徴故障の関数及び係数を示す一方、特徴故障関数は、得られるデータ点によって要求される何れかのタイプのフィットを有し得る何れかの係数を有し得る。よって、図示した例では、特徴故障係数は、式1が直線を規定するような形式を呈する。しかし、他の故障率及び生起量のデータセットでは、特徴故障関数は、故障率及び特徴生起量にデータ点の最良のフィットを行うのに必要な指数関数、対数関数、又は何れかの他の関数であり得る。同様に、関数は、適宜、より複雑な形式(「xn」、「(x-n)」など)を有し得る1つ又は複数の特徴によって規定することができる。
工程509では、相関化モジュール403は、将来に参照するために特徴故障係数データベース405内に特徴故障係数を記憶する。更に、工程511では、相関化モジュール403は任意的には、ユーザに向けて表示するための特徴故障係数407を出力することができる。あるいは、又は更には、プロセスに関する他の情報(図6及び図7に示すタイプの前述のフィット曲線)を、要求に応じてユーザに供給することができる。工程501、及び工程505乃至509は次いで、ユーザによって選択される特徴毎に繰り返される。しかし、効果的には、工程503において得られる故障率情報は、新たな特徴に用い続けることが可能である。
表1は、本発明の種々の例によって作成することが可能なタイプのデータの例を示す。各行は、設計内の特定の論理ユニットのデータを表す。この例では、論理ユニットはネットである。ネット毎の第1の列群は、前述のネット上に含まれる種々の設計特徴(単一のビアの数、導電線の長さや、導電線間の距離など)についての統計を有する。表の右側の「実際の歩留まり」の列はその場合、上記に詳細に記載したように、圧縮埋め込み検査走査診断を用いて生産検査データの大きな統計標本にわたって算出される各ネットの歩留まりを表す。
Figure 2008511086

特徴故障係数データベース405が複数の特徴故障係数を記憶した後、工程513で、係数パレート図生成モジュール409は任意的には、この係数情報を利用して故障係数パレート図411を生成することができる。当業者が分かるように、パレート図は、別々の特徴について、判定された特徴故障関数又はその関連した係数の相対的な大きさを表示する。設計者はよって、特定の特徴量の特徴故障関数又はその関連した係数の相対的な大きさを用いて、設計から製造される集積回路の歩留まりに対する影響が最も大きい、集積回路設計における特徴を判定することが可能である。当然、本発明の他の例は、あるいは、又は更に、判定された特徴故障係数の相対値をユーザに示すために別のタイプの表示を利用することができる。
歩留まり削減特徴の識別
前述の通り、既知の特徴の特徴故障係数の判定に加えて、本発明の種々の実施例を利用して、製造された集積回路の歩留まりにおける削減に寄与する、先行して未知の設計特徴を識別することができる。図8は、集積回路の歩留まりに対してかなりの影響を及ぼす設計特徴を識別するよう本発明によって実現することができる特徴識別ツール801を示す。この図において分かるように、特徴識別ツール801は、上記で詳細に説明したような特徴故障相関化ツール401を含む。故障率予測モジュール803、故障率比較モジュール805及び関数パレート図生成モジュール807も含む。この特徴識別ツール801の動作は、図9A乃至図9Cに示す流れ図を参照して説明する。
まず、工程901では、故障率予測モジュール803は、特徴故障相関化ツール301によって判定される特徴故障係数を用いて、複数の論理ユニットの故障率を、前述の論理ユニット内の既知の特徴の生起量に基づいて予測する。よって、故障率予測モジュール803は、式(2):
予測故障率=f1(x1*f2(x2*f3(x3*…fn(xn
に基づいて論理ユニットの予測故障率を判定することができる。ここで、f1は論理ユニットにおいて生起する第1の特徴の特徴故障関数であり、x1は論理ユニット内の第1の特徴の生起の量であり、f2は論理ユニットにおいて生起する第2の特徴の特徴故障関数であり、x2は論理ユニット内の第2の特徴の生起の量である、等である。予測故障率はよって、予測合計故障率を有することになる。
次に、故障率比較モジュール805は、予測故障率を、例えば、上記に詳細に記載したような検査情報ツール201から得られる実際の故障率205と比較する。特に、工程903では、故障率比較モジュール805は、実際の故障率205を予測故障率によって除算して、残りの合計歩留まり影響を判定する。
特に、既知の特徴故障係数は、以下の表2に示すようにフィット故障確率として用いられる。論理ユニット(例証した例ではネットである)毎の設計統計で乗算すれば、前述の確率は、論理ユニット毎の予測歩留まりを算出するのに用いることが可能である。予測歩留まりと、実際の歩留まりとの間のデルタは、本発明によっていまだ識別されていない設計特徴の特徴を表す。
Figure 2008511086

このデータから、設計レイアウト特徴の故障確率又は歩留まり影響を数量化して、何れかの設計エレメントの歩留まりをその特徴統計によって予測し、識別されていない設計特徴(又は設計特徴間の関係)の残りの影響を予測することが可能である。よって、製造工程中に集積回路の歩留まりにかなり影響を及ぼす設計特徴全てを既知の特徴が含む場合、予測合計故障率は、実際の合計故障率に近似又は一致する。しかし、製造される集積回路の歩留まりに影響を及ぼす未発見設計特徴が存在している場合、予測合計故障率は、実際の合計故障率に一致しない。実際の合計故障率と、予測合計故障率との差が工程905で、残りの合計歩留まり影響であるものと判定される。この残りの合計特徴歩留まり影響は、単一の未知の特徴の特徴故障関数のものとし得るか、又は、複数の未知の特徴と関連した特徴故障関数のものとし得る。
工程907では、係数パレート図生成モジュール807は、個々の特徴の特定された量に対応する先行して判定された特徴故障関数と、残りの合計歩留まり影響の相対的なサイズとを比較してパレート図を生成する。このタイプの図の例は図10に示す。図10では、残りの合計歩留まり影響は、「その他」の題名によって識別される。この図を用いて、ユーザは、発見されていない1つ又は複数の特徴が、その特徴、及びその特徴の特性の識別に正当な理由を有するのに十分大きな影響を有しているか否かを判定することが可能である。例えば、残りの合計歩留まり影響が閾値を超える場合、ユーザは、その原因である1つ又は複数の特徴を識別したい場合がある。
ユーザが実際に、未知の1つ又は複数の特徴を調べることにした場合、工程909で、故障率比較モジュールは、故障率の差異が最大の論理ユニットを識別する。この論理ユニットが、発見されていない特徴から欠陥をもたらす可能性が最も高い論理ユニットであることが分かる。識別された論理ユニットは例えば、工程911で、故障解析検査ツール801に供給することができる。故障解析検査ツール801は更に、論理ユニットに対応する集積回路部分の標本を物理的に検査して、先行して特定された特徴によってもたされていない欠陥を識別することが可能である。本発明の種々の例では、故障解析検査ツール801は、検査情報及び特徴故障係数情報を利用して、その論理ユニットの、先行して特定された特徴によってもたらされていない欠陥の物理サーチ領域を狭めることができる。
最終的には、故障解析検査ツール801は、工程913で、先行して知られている特徴によってもたらされていない欠陥を識別する。この欠陥を検査することによって、ユーザは次いで、工程915で、新たな欠陥をもたらす原因である設計特徴を規定することが可能である。特定の場合には、新たに識別される特徴は、先行して識別された特徴の部分集合であり得る。例えば、ビアは、関連した既知の特徴故障相関を有する、先行して識別された特徴であった場合がある。しかし、故障率の差異が大きい論理ユニットに対応する回路部分を検査すると、特定の長さの接続線に近接したビアが、通常のビアよりも故障の傾向がずっと強いことが明らかになり得る。よって、ビアの、先行して知られている特徴を、新たな2つの特徴(すなわち、通常のビア、及び、長さが最小の接続線に近接したビア)に分けることが可能である。
新たな設計特徴が特定されると、故障率予測モジュール803を用いて、この新たに識別された特徴の特徴故障係数を判定することが可能である。このようにして、残りの合計歩留まり影響が所望の閾値を下回るまで、工程901乃至905を繰り替えすことが可能である。
相関化プロセスの精緻化
本発明によって実現することができる種々の手法及びツールを上記に詳細に説明したが、詳細な検査情報を用いることによって得ることができる前述の手法及びツールの更なる精緻化が存在していることが分かる。例えば、論理ユニットに対応する集積回路部分の故障を単に識別することに加え、検査情報は、故障のモードを更に識別することができる。例えば、検査情報は、論理ユニットの故障モードが、2つの接続間の短絡ではなく、接続における、開いた中断であったことを示し得る。
この故障モード情報を用いて、無関係の検査情報に関連した相関「雑音」を削減することによって、特徴の特徴故障係数を高精度で得ることが可能である。特に、特定の特徴は、特定のタイプの故障モードを起こしやすい。例えば、長い導電線は、別の配線との短絡によってではなく、配線における、開いた中断によって故障する傾向にある。一方、隣接する2つの配線は、何れかの配線における、開いた中断によってではなく、2本の配線間の架橋によって故障する傾向にある。
よって、最小の長さよりも長い導電線の特徴故障係数を判定する場合、開かれていない故障のモードの故障率情報は無視し得る。その代わりに、開かれた状態によってもたらされる故障の故障率のみを、この特徴の生起の量と相関化する。同様に、隣接する配線の特徴故障係数を判定する場合、非架橋故障モードの故障率情報は無視することができ、架橋故障の故障率のみを、この特徴の生起の量に対して相関化するだけでよい。特徴の生起を非関連故障モードの頻度と相関化することによってもたらされる「雑音」を除去することによって、結果として生じる特徴故障相関化の精度を向上させることが可能である。
本発明の更に別の例では、帰納的故障モデリングを用いて、集積回路の歩留まりに影響を及ぼしている特定の電気的構成内の構造の可能性を更に正確に判定することが可能である。前述の通り、故障率情報は、検出された故障の故障モードも含み得る。しかし、通常の埋め込み検査手法は、ゲート・レベルの外の故障モードの識別に限定される。すなわち、通常の埋め込み検査手法によって、ゲート内の故障(接地に短絡させたゲート内のトランジスタなど)を検出することは可能でないが、その代わりに、誤った出力をゲートが生成した場合にのみ検出することは可能である。
よって、本発明の種々の例によって、帰納的故障モデリングを利用して、故障を診断可能でない1つの論理ユニット内の関連した故障を、故障を診断可能な別の論理ユニットに伝播させることができる。例えば、ゲート内の短絡によって、ゲートの外の導電線上の開いた中断としてのゲートにおいて同じ誤った出力がもたらされ得る。よって、ゲートの外の導電線上の開いた短絡によって故障がもたらされたことを故障情報が示す場合、この故障は更に、ゲート内の検出不能な短絡をもたらしたことがあり得る特徴と関連付けられなければならない。このようにして、本発明の種々の実施例を用いて、通常の埋め込み検査方法論によって、特に検出することが通常、可能でない特徴の特徴故障係数を判定することが可能である。
したがって、各特徴の特徴故障係数は、その特徴の生起を、その生起に共通の故障率に対して相関化することによって個々に判定することが可能である。このようにして、本発明の種々の実施例は、前述の故障を各特徴がもたらす可能性を判定することが可能である。この手法を用いて、例えば、ゲートの外の接続線における中断に、先行して関連付けられた故障が実際に、ゲート内のトランジスタの製造中に発生した結果によってもたらされていることを判定することが可能である。効果的には、本発明の前述の実施例は、通常の埋め込み検査手法を用いて検出することが可能でない通常のゲート・レベル故障(形活性領域ボリ(form active area poly)内、ゲート酸化物内や他の構造内の問題など)を識別する際の一助となり得る。
結論
本発明は、特定の例(本発明を行う、現在好ましい態様をはじめとする)に関して説明したが、特許請求の範囲記載の本発明の趣旨及び範囲の範囲内に収まる前述のシステム及び手法の数多くの変形及び置換が存在していることが当業者は分かるであろう。
本発明の種々の例によって用いることができる設計属性抽出ツールの例を示す図である。 本発明の種々の例によって用いることができる検査情報ツールの例を示す図である。 本発明の種々の例の実施に用いることができるコンピュータ装置の例を示す図である。 本発明の種々の例による特徴故障相関化ツールを示す図である。 本発明の種々の例による、特徴故障相関化ツールの動作を表す流れ図である。 本発明の種々の例による、特徴故障相関化ツールの動作を表す流れ図である。 本発明の種々の例によって生成することができるタイプの特徴対歩留まり相関化図の例を示す図である。 本発明の種々の例によって生成することができるタイプの特徴対歩留まり相関化図の例を示す図である。 本発明の種々の例による特徴識別ツールを示す図である。 本発明の種々の例による、特徴識別化ツールの動作を表す流れ図である。 本発明の種々の例による、特徴識別化ツールの動作を表す流れ図である。 本発明の種々の例による、特徴識別化ツールの動作を表す流れ図である。 本発明の種々の例による、特徴識別ツールによって生成することができるパレート図の例を示す図である。

Claims (10)

  1. 集積回路設計の特徴の特徴故障係数を判定する方法であって、
    集積回路内の物理構造に対応する、集積回路設計の特徴を規定する工程と、
    前記特徴を組み入れた複数の論理ユニットを識別する工程と、
    前記識別された論理ユニット毎に、
    前記論理ユニットにおいて生起する前記特徴の量を判定し、
    前記論理ユニットに対応する集積回路部分の故障率を判定する工程と、
    前記特徴の量を故障率と相関化して、前記特徴の特徴故障係数によって規定される少なくとも1つの特徴故障関数を判定する工程とを備える方法。
  2. 請求項1記載の方法であって、前記論理ユニットそれぞれにおいて生起する前記特徴の前記量を判定する工程が、前記論理ユニットそれぞれにおいて生起する前記特徴の前記量を設計特徴抽出ツールから受け取る工程を含む方法。
  3. 請求項1記載の方法であって、前記論理ユニットに対応する前記集積回路部分の故障率を判定する工程が、前記故障率を検査情報ツールから受け取る工程を含む方法。
  4. 請求項1記載の方法であって、
    前記特徴の前記特徴故障係数が閾値を超えていることを判定する工程と、
    既存の集積回路設計における前記特徴の生起の量を削減して、修正された集積回路設計を生成する工程とを更に備える方法。
  5. 請求項4記載の方法を用いて生成される、修正された集積回路設計。
  6. 請求項1記載の方法であって、前記論理ユニットが、前記特徴の生起を何ら有しない論理ユニットを含む方法。
  7. 請求項1記載の方法であって、
    前記特徴の実現によって欠陥の生起が閾値を超える確率を判定する工程と、
    既存の集積回路における前記特徴の生起に検査回路を加えて、修正された集積回路設計を生成する工程とを更に備える方法。
  8. 請求項1記載の方法であって、
    前記特徴の実現によって欠陥の生起が閾値を超える確率を判定する工程と、
    既存の集積回路における前記特徴の生起を削減し、
    前記既存の集積回路設計から削減されていない、前記特徴の生起に検査回路を加えることによって、
    修正された集積回路設計を生成する工程とを更に備える方法。
  9. 集積回路の歩留まりに対する影響を有する特徴を識別する方法であって、
    集積回路内の物理構造に対応する、集積回路設計の1つ又は複数の特徴を規定する工程と、
    前記特徴を組み入れた複数の論理ユニットを識別する工程と、
    前記識別された論理ユニット毎に、
    前記論理ユニットにおいて生起する各特徴の量を判定し、
    前記論理ユニットに対応する集積回路部分の故障率を判定する工程と、
    各特徴の量を故障率と相関化して、特徴毎に少なくとも1つの特徴故障関数を判定する工程と、
    前記判定された特徴故障関数を利用して、集積回路設計の少なくとも一部分の故障率を予測する工程と、
    前記予測された故障率を実際の故障率と比較して残りの合計歩留まり影響を判定する工程と、
    前記残りの合計歩留まり影響の原因である少なくとも1つの特徴を識別する工程とを備える方法。
  10. 集積回路の歩留まりに対する影響を有する特徴を識別する方法であって、
    集積回路内の物理構造に対応する、集積回路設計の1つ又は複数の特徴を規定する工程と、
    前記特徴を組み入れた複数の論理ユニットを識別する工程と、
    前記識別された論理ユニット毎に、
    前記論理ユニットにおいて生起する各特徴の量を判定し、
    前記論理ユニットに対応する集積回路部分の故障率を判定する工程と、
    各特徴の量を故障率と相関化して、特徴毎に少なくとも1つの特徴故障関数を判定する工程と、
    前記判定された特徴故障関数を利用して、前記論理ユニットに対応する集積回路設計部分の故障率を予測する工程と、
    前記予測された故障率と実際の故障率との間の差異が最大の論理ユニットを判定する工程と、
    検査するために故障解析検査プロセスへ前記論理ユニットを明らかにする工程とを備える方法。
JP2007530511A 2004-10-01 2005-10-03 特徴の故障の補正 Pending JP2008511086A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61532904P 2004-10-01 2004-10-01
PCT/US2005/035422 WO2006039625A2 (en) 2004-10-01 2005-10-03 Feature failure correlation

Publications (1)

Publication Number Publication Date
JP2008511086A true JP2008511086A (ja) 2008-04-10

Family

ID=35999546

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007530511A Pending JP2008511086A (ja) 2004-10-01 2005-10-03 特徴の故障の補正

Country Status (5)

Country Link
US (1) US7725849B2 (ja)
EP (1) EP1794693B1 (ja)
JP (1) JP2008511086A (ja)
CN (1) CN101014955B (ja)
WO (1) WO2006039625A2 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9104650B2 (en) 2005-07-11 2015-08-11 Brooks Automation, Inc. Intelligent condition monitoring and fault diagnostic system for preventative maintenance
KR101322434B1 (ko) 2005-07-11 2013-10-28 브룩스 오토메이션 인코퍼레이티드 지능형 상태 감시 및 결함 진단 시스템
US7627847B1 (en) * 2005-12-01 2009-12-01 Cadence Design Systems, Inc. Method and system for representing manufacturing and lithography information for IC routing
US8626460B2 (en) * 2006-03-31 2014-01-07 Teseda Corporation Secure test-for-yield chip diagnostics management system and method
JP4234162B2 (ja) * 2006-08-31 2009-03-04 インターナショナル・ビジネス・マシーンズ・コーポレーション 製品に仮想属性を割り当てるためのシステム、方法、およびプログラムならびに製品に発生した事象の原因をトレースするためのシステム、方法、およびプログラム
US7568139B2 (en) * 2006-12-12 2009-07-28 Inovys Corporation Process for identifying the location of a break in a scan chain in real time
KR100828026B1 (ko) * 2007-04-05 2008-05-08 삼성전자주식회사 집적회로 설계패턴의 레이아웃 수정방법 및 이를 수행하기위한 장치
US7653888B2 (en) * 2007-04-25 2010-01-26 International Business Machines Corporation System for and method of integrating test structures into an integrated circuit
JP2010003712A (ja) * 2007-08-09 2010-01-07 Renesas Technology Corp 半導体装置、半導体装置の配置配線方法、及びデータ処理システム
JP5019992B2 (ja) * 2007-08-21 2012-09-05 株式会社リコー 代替準備支援システム、代替準備支援サーバ装置及び代替準備支援プログラム
US8347146B2 (en) * 2007-12-18 2013-01-01 Bae Systems Plc Assisting failure mode and effects analysis of a system comprising a plurality of components
US7958472B2 (en) * 2008-09-30 2011-06-07 Synopsys, Inc. Increasing scan compression by using X-chains
US20110179325A1 (en) * 2010-01-15 2011-07-21 Freescale Semiconductor, Inc System for boundary scan register chain compression
EP2619912A4 (en) * 2010-09-21 2015-07-08 Ansaldo Sts Usa Inc METHOD FOR ANALYZING THE SECURITY OF A DEVICE FOR INSPECTION OF A HARDWARE DESCRIPTION LANGUAGE TO ERROR
US9659136B2 (en) 2010-09-27 2017-05-23 Teseda Corporation Suspect logical region synthesis from device design and test information
US8327310B1 (en) * 2011-07-07 2012-12-04 Apple Inc. Method and software tool for analyzing and reducing the failure rate of an integrated circuit
US9939488B2 (en) 2011-08-31 2018-04-10 Teseda Corporation Field triage of EOS failures in semiconductor devices
US8907697B2 (en) 2011-08-31 2014-12-09 Teseda Corporation Electrical characterization for a semiconductor device pin
US8412991B2 (en) 2011-09-02 2013-04-02 Teseda Corporation Scan chain fault diagnosis
US9429619B2 (en) * 2012-08-01 2016-08-30 Globalfoundries Inc. Reliability test screen optimization
US8856720B2 (en) 2013-01-03 2014-10-07 International Business Machines Corporation Test coverage of integrated circuits with masking pattern selection
US9081932B2 (en) * 2013-02-01 2015-07-14 Qualcomm Incorporated System and method to design and test a yield sensitive circuit
US9465071B2 (en) 2014-03-04 2016-10-11 Mediatek Inc. Method and apparatus for generating featured scan pattern
US9542296B1 (en) * 2014-12-01 2017-01-10 Amazon Technologies, Inc. Disk replacement using a predictive statistical model
US10935962B2 (en) * 2015-11-30 2021-03-02 National Cheng Kung University System and method for identifying root causes of yield loss
US10247777B1 (en) 2016-11-10 2019-04-02 Teseda Corporation Detecting and locating shoot-through timing failures in a semiconductor integrated circuit
US11132620B2 (en) 2017-04-20 2021-09-28 Cisco Technology, Inc. Root cause discovery engine
US11681843B2 (en) * 2018-01-17 2023-06-20 Siemens Industry Software Inc. Input data compression for machine learning-based chain diagnosis
DE102018109816B3 (de) * 2018-04-24 2019-10-24 Yxlon International Gmbh Verfahren zur Gewinnung mindestens eines signifikanten Merkmals in einer Serie von Bauteilen gleichen Typs und Verfahren zur Klassifikation eines Bauteils eienr solchen Serie
WO2020232106A1 (en) * 2019-05-16 2020-11-19 Hewlett-Packard Development Company, L.P. Device monitoring systems
US11295831B2 (en) * 2020-06-25 2022-04-05 Taiwan Semiconductor Manufacturing Company Limited Systems and methods to detect cell-internal defects
CN112306877B (zh) * 2020-10-30 2024-02-20 山东山大电力技术股份有限公司 一种电力系统故障运维方法及系统
CN113552856B (zh) * 2021-09-22 2021-12-10 成都数之联科技有限公司 工艺参数根因定位方法和相关装置
CN114418150B (zh) * 2022-03-30 2022-09-09 深圳市永达电子信息股份有限公司 一种站台门系统的故障维护方法及装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5777901A (en) * 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US20030028352A1 (en) * 2001-08-06 2003-02-06 Texas Instruments Incorporated Determining the failure rate of an integrated circuit
US20040138852A1 (en) * 2003-01-13 2004-07-15 Everts Franklin F. Fault assessment using fractional failure rates

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3986571B2 (ja) * 1994-12-09 2007-10-03 日本テキサス・インスツルメンツ株式会社 歩留り予測装置とその方法
US5539652A (en) * 1995-02-07 1996-07-23 Hewlett-Packard Company Method for manufacturing test simulation in electronic circuit design
JP3813757B2 (ja) * 1999-03-23 2006-08-23 株式会社東芝 重み付き故障検出率評価装置
JP3734392B2 (ja) * 1999-10-29 2006-01-11 松下電器産業株式会社 半導体集積回路の故障検査方法及びレイアウト方法
TW538251B (en) * 2000-03-03 2003-06-21 Promos Technologies Inc Method for finding the root cause of the failure of a faulty chip
JP3733094B2 (ja) * 2002-08-22 2006-01-11 トヨタ自動車株式会社 良否判定装置、良否判定プログラムおよび良否判定方法
JP3834008B2 (ja) * 2003-03-19 2006-10-18 株式会社東芝 不良解析装置、不良解析方法および不良解析プログラム
US20040187050A1 (en) * 2003-03-19 2004-09-23 Baumann Robert Christopher Test structure and method for accurate determination of soft error of logic components
US8775997B2 (en) * 2003-09-15 2014-07-08 Nvidia Corporation System and method for testing and configuring semiconductor functional circuits
TW200622275A (en) 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5777901A (en) * 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US20030028352A1 (en) * 2001-08-06 2003-02-06 Texas Instruments Incorporated Determining the failure rate of an integrated circuit
US20040138852A1 (en) * 2003-01-13 2004-07-15 Everts Franklin F. Fault assessment using fractional failure rates

Also Published As

Publication number Publication date
WO2006039625A2 (en) 2006-04-13
CN101014955B (zh) 2010-09-08
WO2006039625A3 (en) 2006-08-17
CN101014955A (zh) 2007-08-08
EP1794693B1 (en) 2016-05-11
US7725849B2 (en) 2010-05-25
EP1794693A2 (en) 2007-06-13
US20070143718A1 (en) 2007-06-21

Similar Documents

Publication Publication Date Title
JP2008511086A (ja) 特徴の故障の補正
US7987442B2 (en) Fault dictionaries for integrated circuit yield and quality analysis methods and systems
US8136082B2 (en) Method for testing integrated circuits
US7003742B2 (en) Methodology for the optimization of testing and diagnosis of analog and mixed signal ICs and embedded cores
US7870519B2 (en) Method for determining features associated with fails of integrated circuits
WO2008086282A2 (en) Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US20080111558A1 (en) Defect localization based on defective cell diagnosis
JP3813757B2 (ja) 重み付き故障検出率評価装置
Huang et al. Advancements in diagnosis driven yield analysis (DDYA): A survey of state-of-the-art scan diagnosis and yield analysis technologies
JP2006019690A (ja) パターン解析方法及びパターン解析装置並びに歩留まり算出方法及び歩留まり算出装置
US10242921B2 (en) Method of forming pattern of semiconductor device from which various types of pattern defects are removed
JP5567322B2 (ja) テストフロー提示コンピュータプログラム、テストフロー提示コンピュータシステム
US7895545B2 (en) Methods for designing a product chip a priori for design subsetting, feature analysis, and yield learning
TWI392886B (zh) Non-performing rate prediction method, defect rate prediction program, management method of semiconductor manufacturing apparatus, and manufacturing method of semiconductor device
US20170220706A1 (en) Systems, methods and apparatus that employ statistical analysis of structural test information to identify yield loss mechanisms
JP2005043274A (ja) 故障モード特定方法及び故障診断装置
US8099705B2 (en) Technique for determining circuit interdependencies
JP4067112B2 (ja) 半導体集積回路の検証方法及びテストパターンの作成方法
JP4781994B2 (ja) 信号波形解析装置
Kao et al. Diagnosing Multiple Byzantine Open-Segment Defects Using Integer Linear Programming
Chakraborty et al. Data-Driven DPPM Estimation and Adaptive Fault Coverage Calibration Using MATLAB®
Jahangiri et al. Meeting nanometer DPM requirements through DFT
Renovell et al. Models for Bridging Defects: Test and Diagnosis
JP2009086816A (ja) 故障シミュレーション装置、及びプログラム

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090630

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090929

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091006

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091030

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100629

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100706

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100729

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100805

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100830

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100906

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101124