JP2008263230A - 半導体材料処理室における装置表面のクリーニング方法 - Google Patents

半導体材料処理室における装置表面のクリーニング方法 Download PDF

Info

Publication number
JP2008263230A
JP2008263230A JP2008179411A JP2008179411A JP2008263230A JP 2008263230 A JP2008263230 A JP 2008263230A JP 2008179411 A JP2008179411 A JP 2008179411A JP 2008179411 A JP2008179411 A JP 2008179411A JP 2008263230 A JP2008263230 A JP 2008263230A
Authority
JP
Japan
Prior art keywords
device surface
cleaning
porogen
processing chamber
containing atmosphere
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008179411A
Other languages
English (en)
Inventor
Andrew David Johnson
デイビッド ジョンソン アンドリュー
Seksan Dheandhanoo
デアンダヌー セクサン
Mark Daniel Bitner
ダニエル ビトナー マーク
Raymond Nicholas Vrtis
ニコラス バーティス レイモンド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2008263230A publication Critical patent/JP2008263230A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G3/00Apparatus for cleaning or pickling metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/4697Generating plasma using glow discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

【課題】半導体材料処理室においてポロゲンを含有する多孔質膜を堆積させた後にその処理室において装置表面をクリーニングする方法を提供すること。
【解決手段】半導体材料処理室の装置表面をプロトン供与体含有雰囲気と接触させてその装置表面に堆積せしめられたポロゲンと反応させることと、装置表面をフッ素供与体含有雰囲気と接触させてその装置表面に堆積せしめられた薄膜と反応させることとを含んでなるように構成する。
【選択図】なし

Description

化学的気相成長法(“CVD”)のチャンバ(処理室)の場合、粒子汚染を防止するために定期的にクリーニングを行うことが必要である。CVD処理室のクリーニングは、通常、プラズマエッチング法(例えば、C26/О2)を使用してCVD残渣(例えば、テトラエチルオルトシリケート、TEОS)を揮発させることによって行われている。しかし、現行の処理室クリーニングプロセスの場合、多孔質誘電材料のCVDに引き続いて残渣を除去するのには有効でない。
CVD堆積法は、基板(典型的には、シリコンウエハ)上に薄膜を形成するうえで望ましいけれども、これらの薄膜を形成する反応は、処理室の内側の露出面においてもまた非生産的な形で発生し、その結果、処理室の室壁、シャワーヘッド及び下流域前部において多量の残渣が残留することとなる。これらの残渣は、通常、炭素、すなわち、炭素含有残渣を含んでいる。また、炭素含有残渣中に存在しうる追加の物質は、例えば、前駆体混合物に由来するシリコン及び(又は)クリーニングに使用される含フッ素ガス系のプラズマに対する暴露及び(又は)フッ素含有前駆体に由来するフッ素を包含する。処理室の内側に炭素含有残渣が堆積すると、粒子の飛散、堆積物の均一性の低下、そして引き続く堆積工程に悪影響を及ぼしうるプロセスドリフトが発生可能である。これらの影響があると、堆積後の構造体において欠陥が導かれ、また、デバイスが故障する。そのために、処理室を定期的にクリーニングして清浄化すること(ここでは、「処理室クリーニング」ともいう)が必要である。上記のような残渣は、引き続いて堆積せしめられる有機ケイ酸塩の複合薄膜の一体性(均一性、組成物の純度、再現可能性)を保証するため、除去しなければならない。場合によっては、上記したような炭素含有残渣がオリゴマー又はポリマーの形で存在することがあるので、残渣の除去がより難しくなる。
非特許文献1を参照すると、CVD処理室は、通常、フルオロカーボンプラズマ(例えば、C26)を使用してクリーニングされている。CVD処理室をクリーニングするために半導体工業の全般で一般的に実施されている方法は、C26/О2プラズマである。フッ素の不十分な排出時におけるポリマーの形成を防止するためには酸素(О2又はN2О)を添加することが必要である。これらの方法における酸素の役割は、フルオロカーボン(例えば、C26)の炭素原子をCО2に変えることである。
特許文献1及び2では、NF3及びその他の希釈剤(例えば、He、Ar、N2)から発生せしめられたプラズマを使用してCVD処理室の壁面からSiNx及びSiО2の残渣を除去している。NF3プラズマ中で発生せしめられたフッ素原子は、CVDの残渣と反応して揮発性の副生成物を形成する。高いエッチング速度を適用したプロセスが開示されている(NF3濃度、圧力、高周波(“RF”)の出力、流量)。
特許文献3は、炭化珪素(SiC)及び有機珪素ガラス(ОSG)のCVDに引き続いて処理室をクリーニングする方法を教示している。水素及びフッ素プラズマの組み合わせ(例えば、H2及びNF3)を使用してSiC及びОSG材料を除去する。特にこの特許の方法は、SiC及びОSG残渣を除去することに向けられている。この方法において、水素プラズマの役割は、SiC及びОSGの構造に固有の成分である珪素−炭素結合を破壊することにある。NF3/О2プラズマを使用して、SiC及びОSG材料を成功裡にクリーニングすることができる。水素プラズマを組み込むことで、エッチング速度を簡単に高めることができる。
特許文献4は、タングステンのCVDに引き続いて処理室をクリーニングする方法を開示している。CVD処理室を、NF3プラズマとそれに続くH2プラズマでクリーニングする。特にこの特許の方法は、タングステン残渣を除去することに向けられている。タングステンは、NF3プラズマによってWF6として揮発せしめられる。引き続いてH2プラズマを使用する目的は、NF3ベースの処理室クリーニング時のフッ素の副生成物を掃去することにある。
特許文献5は、先行する処理室クリーニング中に生成したフッ素副生成物を除去するために使用されるH2プラズマを記載している。一般的に実施されている方法では、CVD処理室をクリーニングした後(例えば、NF3プラズマを使用)、そのCVD処理室において残留量のフッ素が残っている。この特許は、したがって、これらのフッ素残留物を還元性ガス(例えば、H2)との反応を通じて除去する方法を提案している。引き続いてH2プラズマを使用する目的は、NF3ベースの処理室クリーニング時のフッ素の副生成物を掃去することにある。
特許文献6は、先行する処理室クリーニング中に生成したフッ素副生成物を除去するためにH2プラズマが使用されることを教示している。一般的に実施されている方法では、CVD処理室をクリーニングした後(例えば、NF3プラズマを使用)、そのCVD処理室の壁に残留フッ素が含浸せしめられ、CVD薄膜の被着を制限している。この特許は、したがって、これらのフッ素残留物を還元性ガス(例えば、H2)との反応を通じて除去する方法を提案している。引き続いてH2プラズマを使用する目的は、NF3ベースの処理室クリーニング時のフッ素の副生成物を掃去することにある。
特許文献7は、CVD処理室のドームをコンディショニングするために水素プラズマが使用されることを開示している。この発明の目的は、CVD処理室の表面を不動態化(表面安定化)することにある。CVD処理室を構成する材料は、Al2О3を包含する。任意のフッ素ベース(例えば、NF3)の処理室クリーニングの間、その処理室の壁にフッ素が添加せしめられる可能性がある。この特許は、生成したAlF3を水素プラズマを使用していかに除去することができるか、ということを示している。
特許文献8は、CVD処理室で多量の処理(例えば、5000枚のウエハ)を行った後に実施される、慣用の湿式クリーニングに代わりうる発明を記載している。処理室からCVD残渣を除去するものではない。堆積処理を実施した後、CVD処理室は依然として、一般的に採用されている方法(例えば、NF3プラズマ)を使用して定期的に(例えば、1〜5枚のウエハを処理した後)クリーニングしなければならない。また、多数回の堆積及びクリーニングサイクル(例えば、5000回)の後、CVD処理室を分解し、その部品を水性の酸及び溶媒(例えば、HF及びイソプロピルアルコール(“IPA”))を使用してクリーニングしなければならない。すなわち、この特許は、湿式クリーニングに代えてドライエッチングプロセスを使用することを提案している。
特許文献9は、物理的蒸着(“PVD”)又はプラズマ強化CVD(“PECVD”)によって薄膜を堆積させることに先がけて基板をクリーニングするために使用されるH2プラズマを開示している。この特許は、処理室のCVD残渣をクリーニングすることよりはむしろ、基板(例えば、シリコンウエハ)を調製することに向けられている。
特許文献10は、プラズマエッチング中、すなわち、反応性イオンエッチング(“RIE”)中、シリコン基板上にポリマー残渣を堆積させることを教示している。この特許では、このエッチング残渣の除去を促進するため、H2/N2又はNH3/N2混合物を使用している。この特許は、CVDの後に処理室をクリーニングすることよりはむしろ、プラズマエッチングの後にウエハをクリーニングすることに向けられている。
特許文献11は、ゲート絶縁物を形成する前にポリシリコンウエハを清浄にするために使用される水素(例えば、H2)及びハロゲン(例えば、F2)ガスからなるプラズマを記載している。推測されるように、H2及びF2成分からHFが生成せしめられ、このHFによって存在中の酸化物が除去される。すなわち、この特許は、プラズマエッチングプロセスによってCVD処理室をクリーニングすることに関するのではなくて、ウエハのクリーニングに関する。
米国特許第5,413,670号 米国特許第6,067,999号 米国特許第6,569,257号 米国特許第5,326,723号 米国特許第5,129,958号 米国特許第6,534,423号 米国特許第6,626,188号 米国特許出願公開第2003/0183244号号公報 米国特許第5,135,775号 国際公開WO2002/007203 特開2001−102311号公報 "Reducing PFC Emissions from CVD Chamber Cleaning", Solid State Technology, p.103 (December 2000)
従来の技術の場合、多孔質誘電材料の堆積を行った後でCVD処理室の壁面からポロゲンを除去することを提案したものは存在しない。ポロゲンとして使用されている、典型的には大きくて不飽和の炭化水素は、従来の技術において考慮もされていなければ注目もされていない特有の問題を提起し、また、かかる炭化水素は、集積回路の製造中に多孔質誘電体を組み込むことに関して重要な問題を投げかけている。本発明は、以下に詳細に説明するように、従来の技術では欠陥としてあったものを、工業上のかかる問題を解決することに向けられている。
本発明は、半導体材料の処理室においてポロゲンを含有する多孔質膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
前記装置表面をプロトン供与体含有雰囲気と接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
前記装置表面をフッ素供与体含有雰囲気と接触させてその装置表面に堆積せしめられた薄膜と反応させること、
を含んでなる、装置表面のクリーニング方法にある。
好ましくは、この方法は、処理室の装置表面を酸素供与体含有雰囲気と接触させて、フッ素供与体との接触時に、特にそのフッ素供与体が酸素を含有しない場合に、その装置表面に堆積せしめられた薄膜と反応させることを包含する。
さらに詳しく述べると、本発明は、半導体材料のCVD処理室においてポロゲンを含有する多孔質誘電性薄膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
前記装置表面に接触した帯域を排気すること、
前記帯域をプラズマ条件下に維持すること、
前記装置表面にプロトン供与体含有雰囲気を接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
前記装置表面に接触した帯域を排気すること、
前記装置表面をフッ素供与体及び酸素源を含有する雰囲気と接触させてその装置表面に堆積せしめられた誘電性薄膜と反応させ、前記装置表面をクリーニングすること、
を含んでなる、装置表面のクリーニング方法にある。
半導体装置は、シリコンウエハ上において薄膜材料(例えば、二酸化珪素)の堆積とパターニングを順次実施することによって加工されている。薄膜の化学的気相成長法(CVD)を実施する間、シリコンウエハの上ばかりでなく、処理室の表面にも薄膜材料が堆積せしめられる。粒子汚染を防止するため、CVD処理室を定期的にクリーニングする必要性がある。処理室は、プラズマエッチング法を使用してクリーニングされ、また、プラズマエッチング法によって処理室の壁のCVD残渣が揮発せしめられる。
半導体装置の層間絶縁膜(ILD)は、歴史的にみた場合、酸化ケイ素材料(例えば、TEОS)から作られている。これらのシリコン系の材料(例えば、SiО2)は、フッ素との反応を通じて揮発させることができる。フッ素原子は、グロー放電によって発生せしめられるものであり、ケイ素と反応してSiF4ガスを生成し、これがCVD処理室から排気される。
CVD処理室のクリーニングプロセスにおいて、常用されているフッ素原子又はラジカル(F)の提供源は、パーフルオロ化合物(PFC、例えばCF4、C26、NF3)ならびにフッ素ガス(F2)である。PFCは、腐食性を有しておらず、また、分解する前、周囲条件下において構成材料や大気中の気体との反応性を有していないので、取り扱いが容易である。CVD処理室のクリーニングは、通常、C26/О2又はNF3ベースのプラズマエッチングプロセスを使用して行われる。
層間絶縁膜は、一般的には、例えば以下に列挙するような前駆体を使用して調製される酸化ケイ素含有薄膜である:テトラエチルオルトシリケート、トリエチルメチルオルトシリケート、ジエトキシメチルシラン、メチルシラン、トリメチルシラン、ジメチルエチルシランもしくはそれらの対応シロキサン類、あるいはシラン、及びそれらのそれぞれの同族体及び類似体、テトラメトキシシラン、テトラ−n−プロポキシシラン、テトライソプロポキシシラン、テトラ−n−ブトキシシラン、テトラ−sec−ブトキシシラン、テトラ−tert−ブトキシシラン、テトラアセトキシシラン、及びテトラフェノキシシラン、ヘキサメトキシジシロキサン、ヘキサエトキシジシロキサン、ヘキサフェノキシジシロキサン、1,1,1,3,3−ペンタメトキシ−3−メチルジシロキサン、1,1,1,3,3−ペンタエトキシ−3−メチルジシロキサン、1,1,1,3,3−ペンタメトキシ−3−フェニルジシロキサン、1,1,1,3,3−ペンタエトキシ−3−フェニルジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジフェニルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジフェニルジシロキサン、1,1,3−トリメトキシ−1,3,3−トリメチルジシロキサン、1,1,3−トリエトキシ−1,3,3−トリメチルジシロキサン、1,1,3−トリメトキシ−1,3,3−トリフェニルジシロキサン、1,1,3−トリエトキシ−1,3,3−トリフェニルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジエトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラフェニルジシロキサン、及び1,3−ジエトキシ−1,1,3,3−テトラフェニルジシロキサン。これらの化合物のなかで、好ましい化合物は、ヘキサメトキシジシロキサン、ヘキサエトキシジシロキサン、ヘキサフェノキシジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジフェニルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジエトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラフェニルジシロキサン、そして1,3−ジエトキシ−1,1,3,3−テトラフェニルジシロキサンである。これらの化合物であって、式中のR7が−(CH2n−によって表される基である化合物は、次のようなものを包含する:ビス(トリメトキシシリル)メタン、ビス(トリエトキシシリル)メタン、ビス(トリフェノキシシリル)メタン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、ビス(エトキシジフェニルシリル)メタン、1,2−ビス(トリメトキシシリル)エタン、1,2−ビス(トリエトキシシリル)エタン、1,2−ビス(トリフェノキシシリル)エタン、1,2−ビス(ジメトキシメチルシリル)エタン、1,2−ビス(ジエトキシメチルシリル)エタン、1,2−ビス(ジメトキシフェニルシリル)エタン、1,2−ビス(ジエトキシフェニルシリル)エタン、1,2−ビス(メトキシジメチルシリル)エタン、1,2−ビス(エトキシジメチルシリル)エタン、1,2−ビス(メトキシジフェニルシリル)エタン、1,2−ビス(エトキシジフェニルシリル)エタン、1,3−ビス(トリメトキシシリル)プロパン、1,3−ビス(トリエトキシシリル)プロパン、1,3−ビス(トリフェノキシシリル)プロパン、1,3−ビス(ジメトキシメチルシリル)プロパン、1,3−ビス(ジエトキシメチルシリル)プロパン、1,3−ビス(ジメトキシフェニルシリル)プロパン、1,3−ビス(ジエトキシフェニルシリル)プロパン、1,3−ビス(メトキシジメチルシリル)プロパン、1,3−ビス(エトキシジメチルシリル)プロパン、1,3−ビス(メトキシジフェニルシリル)プロパン、及び1,3−ビス(エトキシジフェニルシリル)プロパン。これらの化合物のなかで、好ましい化合物は、ビス(トリメトキシシリル)メタン、ビス(トリエトキシシリル)メタン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン及びビス(エトキシジフェニルシリル)メタン、そしてテトラアセトキシシラン、メチルトリアセトキシシラン、エチルトリアセトキシシラン、n−プロピルトリアセトキシシラン、イソプロピルトリアセトキシシラン、n−ブチルトリアセトキシシラン、sec−ブチルトリアセトキシシラン、tert−ブチルトリアセトキシシラン、イソブチルトリアセトキシシラン、n−ペンチルトリアセトキシシラン、sec−ペンチルトリアセトキシシラン、tert−ペンチルトリアセトキシシラン、イソペンチルトリアセトキシシラン、ネオペンチルトリアセトキシシラン、フェニルトリアセトキシシラン、ジメチルジアセトキシシラン、ジエチルジアセトキシシラン、ジ−n−プロピルジアセトキシシラン、ジイソプロピルジアセトキシシラン、ジ−n−ブチルジアセトキシシラン、ジ−sec−ブチルジアセトキシシラン、ジ−tert−ブチルジアセトキシシラン、ジフェニルジアセトキシシラン、トリアセトキシシラン、水素シルセスキオキサン類(HSQ、HSiО1.5)及びメチルシルセスキオキサン類(MSQ、RSiО1.5、式中のRはメチル基である)、そしてテトラメチルシクロテトラシロキサンである。
好ましくは、多孔質膜は、ジエトキシメチルシラン、ジメトキシメチルシラン、ジイソプロポキシメチルシラン、ジ−t−ブトキシメチルシラン、メチルトリエトキシシラン、メチルトリメトキシシラン、メチルトリイソプロポキシシラン、メチルトリ−t−ブトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジイソプロポキシシラン、ジメチルジ−t−ブトキシシラン、1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、テトラエトキシシラン及びその混合物からなる群から選ばれる。
また、ILD膜は、次式によって表される組成をもったОSGであることができる。
SivОwxyz
上式において、v+w+x+y+zは100%であり、vは5〜35原子%であり、wは10〜65原子%であり、xは5〜70原子%であり、yは10〜70原子%であり、そしてzは0〜15原子%である。
先進の半導体を加工する場合、シリコン酸化物の誘電率(4.0)よりも低い誘電率を有する層間絶縁膜(ILD)が必要である。ILD材料の誘電率は、空孔(多孔性)を導入することによって低下させることができる。ILDは、化学気相成長法(CVD)の間にポロゲン(例えば、テルペン類)を導入することによって多孔性となすことができる。次いで、CVD後の処理(例えば、加熱もしくはUV露光)において、堆積されたILDの薄膜からポロゲンを除去する。ポロゲンが存在していたところに空孔が導入され、材料の密度及び誘電率が低下せしめられる。ジエトキシメチルシラン(“DEMS”)を使用して堆積せしめられたカーボンドープの二酸化ケイ素(CDО)は、通常、3.0の誘電率を有している。この誘電率は、CVDの間にテルペン類を導入することによって、2.2〜2.5まで低下させることができる。ここで、「ポロゲン(porogen)」とは、それを本願明細書において使用した場合、得られる薄膜において空隙容積を発生させるために使用される化学品を意味する。広義において、ポロゲンは、
(a)環状構造及び式:Cn2n(式中、nは4〜14である)を有し、環状構造中の炭素数が4〜10であり、かつ、任意に、当該環状炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有する、少なくとも1種類の環状炭化水素、
(b)式:Cn(2n+2)-2y(式中、nは2〜20であり、yは0−nである)を有する、線状もしくは分岐の、飽和又は部分もしくは完全不飽和の少なくとも1種類の炭化水素、
(c)環状構造及び式:Cn2n-2x(式中、xは不飽和部位の数であり、nは4〜14である)を有し、環状構造中の炭素数が4〜10であり、かつ、任意に、当該環状炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有しかつ環内炭化水素の内部もしくは炭化水素置換基のいずれかの上において不飽和を含有する、少なくとも1種類の単基もしくは多基不飽和の環状炭化水素、
(d)二環式構造及び式:Cn2n-2(式中、nは4〜14である)を有し、二環式構造中の炭素数が4〜12であり、かつ、任意に、当該二環式化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有する、少なくとも1種類の二環式炭化水素、
(e)二環式構造及び式:Cn2n-(2+2x)(式中、xは不飽和部位の数であり、nは4〜14である)を有し、二環式構造中の炭素数が4〜12であり、かつ、任意に、当該二環式炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有しかつ環内炭化水素の内部もしくは炭化水素置換基のいずれかの上において不飽和を含有する、少なくとも1種類の多基不飽和の二環式炭化水素、
(f)三環式構造及び式:Cn2n-4(式中、nは4〜14である)を有し、三環式構造中の炭素数が4〜12であり、かつ、任意に、当該三環式炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有する、少なくとも1種類の三環式炭化水素、及び
その混合物
からなる群から選ばれた少なくとも1種類の化合物である。
さらに詳しく述べると、本発明の誘電性材料中で使用するのに適当なポロゲンは、不安定な有機基、溶媒、分解可能なポリマー、界面活性剤、デンドリマー、超分岐ポリマー、ポリオキシアルキレン化合物、有機巨大分子及びその組み合わせを包含する。典型的なポロゲンは、アルファ−テルピネン、リモネン、シクロヘキサン、1,2,4−トリメチルシクロヘキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換されたCxジエン(式中、xは2〜18である)、デカヒドロナフテレンなど及びその混合物を包含する。
さらに加えて、多孔質の誘電性薄膜は、シリカ前駆体に対して官能基を介して結合したポロゲンを含有する分子を使用して製造することができる。かかる分子は、例えば、ポロゲン化された1,3,5,7−テトラメチルシクロテトラシロキサン類、例えば、ジネオヘキシルジエトキシシラン、1,4−ビス(ジエトキシシリル)シクロヘキサン、トリメチルシリルエチル−1,3,5,7−テトラメチルシクロテトラシロキサン、1−ネオヘキシル−1,3,5,7−テトラメチルシクロテトラシロキサン、1−ネオペンチル−1,3,5,7−テトラメチルシクロテトラシロキサン、ネオペンチルジエトキシシラン、ネオヘキシルジエトキシシラン、ネオヘキシルトリエトキシシラン、ネオペンチルトリエトキシシラン及びネオペンチル−ジ−t−ブトキシシシランである。
しかしながら、CVDプロセスにおいて使用された大きな分子及びオリゴマー、典型的には不飽和のポロゲン、例えばアルファ−テルピネン(“ATRP”)及びATRPオリゴマーをCVD処理室の壁面から除去することは、引き続いて行う処理室クリーニングプロセスにおいて問題のある処理である。CVD処理室をクリーニングするために一般的に使用されているプロセス(例えば、C26/О2又はNF3プラズマ)は、DEMS−ATRPタイプの多孔質誘電体の堆積処方には有効でないということがわかった。ここで、まず試みた方法は、CVD処理室壁上のATRP残渣をО2プラズマを使用して揮発させる工程(ステップ1)と、それに引き続くところの、NF3/C26エッチングプロセスに酸素(例えば、О2又はN2О)を添加する工程(ステップ2)であった。これらのC26ベースあるいはNF3ベースの処理室クリーニングの例は、下記の第I表において例1及び例2としてまとめられている。酸素添加の目的は、CVD処理室壁上のポロゲン残渣を揮発性の炭素酸化物(例えば、CО2及びCО)の如く酸化することにあった。ケイ素酸化物は、フッ素プラズマの働きによってSiF4として揮発せしめられる。
例1:C26を使用した従来方法による処理室クリーニング
2.0μmでDEMS−ATRPを堆積させた後、上記した条件下でC26クリーニングを実施した。2.0μmDEMS−ATRP堆積に続けたC26クリーニング中のQMSプロファイル(図1)から明らかなように、このQMSプロファイルは、О2プラズマがATRPをCО2として揮発させ、一方DEMSをSiF4として揮発させることを示している。О2プラズマの間、CО2分圧のシャープな増加が存在している(ステップ1、図1では3500〜4000秒として示される)。CО2分圧は、約300秒後に基線レベルまで復帰する。引き続くC26/О2プラズマ(ステップ2、図1では3500〜4000秒として示される)の間、SiF4分圧は、約300秒後に基線レベルまで復帰する。付言すると、SiF4の減少を伴ってF2圧力が増加するけれども、この増加は、良好な終点モニターとなることができる。О2プラズマ及びC26/О2プラズマの目的は、それぞれ、ATRP及びDEMSを除去することにあったので、QMSプロファイルは、クリーニングが完了したこと(すなわち、クリーニング時間は十分であること)を示している。下記の第II表の4欄に示されるように、処理室の壁とポンピング路の上にかなりの量の褐色残渣が残留した。
例2:3層の堆積と、それに続く従来方法によるC26クリーニング
3層の2.0μmDEMS−ATRP堆積(すなわち、累積厚さが6.0μmの堆積)と、そのそれぞれに引き続いた上記第I表に記載の条件でのC26クリーニングの後、PECVD処理室を目視により観察した。下記の第II表の4欄に示されるように、処理室の壁とポンピング路の上にかなりの量の褐色残渣が残留した。この処理室クリーニングを検証する前にPECVD処理室について湿式クリーニングを実施しているので、PECVD処理室の状態が注目され、3枚のウエハしか処理できなかったものと考察される。クリーニングが完了したことはQMSのモニタリングを通じてわかるけれども、QMSについての従来の解釈に基づいた場合、従来のC26クリーニングではCVD処理室からすべての残渣を効果的に除去することができない、と結論することができる。同様な結果は、NF3クリーニングの場合(上記の第I表に記載した条件で)にも認められた。
本発明では、CVD反応室から多孔質誘電性薄膜、すなわち、DEMS−ATRP残渣を除去するため、還元の化学が使用される。何らかの特定の理論によって束縛されることを本発明者らは希望するものではないけれども、考察によれば、本発明は、還元性の、すなわちプロトン供与体を使用した処理工程を使用するものであり、多孔質誘電体堆積後にCVD処理室壁上に残留した残留ポロゲンを還元しかつ破壊させて、後続のフッ素ベースのクリーニングプラズマ中で重合することのない飽和の炭化水素を生成する。プロトン供与体含有雰囲気のプロトン供与体、すなわち、水素供給源は、H2、CH4、C26、Cxy、NH3又はH2Оであることができ、式中、xは1〜5でありかつyは4〜12であり、好ましくは完全飽和の炭化水素プロトン供与体である。フッ素供与体含有雰囲気のフッ素供給源は、F2、NF3、CF4、C26、C38、C48、C48О、CHF3、CОF2、ビスフルオロオキシジフルオロメタン、Cxハイドロフルオロカーボン、パーフルオロカーボン及び酸化フルオロカーボン(式中、xは1〜6である)又はその他のハイドロフルオロカーボンであることができる。フッ素供与体含有雰囲気は、酸素、オゾン、水、一酸化窒素、亜酸化窒素、二酸化窒素、二酸化珪素及びその混合物からなる群から選ばれた酸素供給源を包含することができる。圧力は、0.1〜100トル(Torr)とすることができる。ステップ1及びステップ2の前、それらの工程の間及びそれらの工程の後の排気工程は、600トル未満とすることができる。プラズマ状態は、500〜10,000ワットのRFによって発生させることができる。プラズマは、クリーニングされるべき反応室の上流側及び室外においてフッ素源を分解させる遠隔プラズマであってもよく、さもなければ、クリーニングされるべき反応室内における現場発生プラズマであってもよい。プラズマを発生させるため、RF以外のその他のエネルギー源を考慮することもできる。
例3:プロトン供与体/フッ素供与体によるクリーニング
CVD処理室をクリーニングするために使用された本発明の一例を上記第I表(例3)に示した。本例のポロゲンクリーニングは、上記第I表に示した条件下においてH2及びNF3を使用した2段階プロセスである。このポロゲンクリーニングの間のQMSプロファイルは、図2に示してある。H2プラズマの間(ステップ1、図2では800〜1200秒として示される、炭化水素(HC、15amu)に原因したイオンが観察されるが、これは、ATRPが反応して炭化水素のエッチング生成物を形成していることを示している(註:N2の干渉があるため、15amuにはバックグラウンド信号が存在する)。H2プラズマによってATRPの圧力が一桁の大きさで低下せしめられた(すなわち、図2に示したように、ATRPのガス抜きはより少量であった)。引き続いたNF3/О2プラズマ(ステップ2)の間、SiF4及びCО2の両方のエッチング生成物が観察された。これらの副生成物の圧力は、F2の発現とともに基線まで復帰し、クリーニングの完結を示した。下記の第II表の4欄に報告されているように、処理室の壁に褐色の残渣は存在しなかった。
例4:3層の堆積と、それに続くプロトン供与体/フッ素供与体によるクリーニング
3層の2.0μmDEMS−ATRP堆積(すなわち、累積厚さが6.0μmの堆積)と、そのそれぞれに引き続いた本発明のポロゲンクリーニング(上記第I表を参照)の後、PECVD処理室を目視により観察した。下記の第II表の4欄に報告されているように、処理室の壁に褐色の残渣は存在しなかった。
実行可能性についての実験から、還元性の化学、すなわち、プロトン供与体を包含させた場合(例えば、H2プラズマ工程)、多孔質のILD堆積、例えばポロゲン含有DEMS−ATRP堆積、すなわち、上記したような炭素含有残渣の形成を行った後で、PECVD処理室をより効果的にクリーニングできるということが立証される。プロトン供与体工程の還元性化学、例えばH2プラズマは、ポロゲン、典型的には、別法によればフッ素生成重合にさらされる大きな不飽和分子を還元及び破壊し、引き続くフッ素プラズマ中で重合せしめられることのない不飽和の炭化水素を生成する。酸化ケイ素源、例えばDEMSは、NF3/О2プラズマプロセス(ステップ2)においてSiF4としてエッチングされる。
従来のフッ素供与体クリーニングは、誘電体を堆積した処理室の表面からポロゲンを除去することに適当でないということが本発明によって確認された。歴史的にみた場合、フッ素供与体クリーニングは、ポロゲンが含まれていない場合、誘電体の前駆体や反応生成物を除去するのに適当であるとみなされてきた。最近では、必要とされる低誘電率を達成するために誘電性薄膜堆積中のポロゲンを考慮する傾向にあり、必要により、ポロゲンならびにポロゲン反応生成物及び副反応生成物に対する解決法が本発明において確認された。特に適当なことは、不飽和部位でポロゲンを重合させ、かつポロゲンを過フッ素化してクリーニング用の試薬とのさらなる反応に対して相対的に不活性とする潜在可能性をもった、フッ素含有クリーニングガスに対する暴露を行うに先がけて、処理室壁上の大きくかつ不飽和のポロゲンが還元もしくは破壊されるということである。水素処理は、半導体や処理室の処理において使用されているけれども、いずれも大きな分子及び(又は)不飽和のポロゲンタイプの分子の存在において行われていない。したがって、従来の技術においては、このような分子が不用意に堆積したものをクリーニングするために反応室表面をプロトン供与体処理することの効果はまったく経験されておらず、問題としても認識されておらず、さらには、プロトン供与体又は水素還元性雰囲気によってそのようなポロゲン分子の不用意な堆積を解決できることも従来の技術によって認識されていない。
以上、本発明をいくつかの実施例に関して説明した。しかし、本発明の範囲そのものは、特許請求の範囲の記載された事項から認められるべきである。
ポロゲン含有薄膜を堆積させた処理室を従来技術によりC26でクリーニングを行った場合の揮発溶出物の四極子質量分析(“QMS”)プロファイルである。 ポロゲン含有薄膜を堆積させた処理室を本発明によりプロトン供与体を含むNF3でクリーニングを行った場合の揮発溶出物のQMSプロファイルである。

Claims (21)

  1. 半導体材料処理室においてポロゲンを含有する多孔質膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
    前記装置表面をプロトン供与体含有雰囲気と接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
    前記装置表面をフッ素供与体含有雰囲気と接触させてその装置表面に堆積せしめられた薄膜と反応させること、
    を含んでなる、装置表面のクリーニング方法。
  2. 前記プロトン供与体含有雰囲気との接触に引き続いて、前記フッ素供与体含有雰囲気との接触を行う、請求項1に記載の方法。
  3. 前記フッ素供与体含有雰囲気との接触に引き続いて、前記プロトン供与体含有雰囲気との接触を行う、請求項1に記載の方法。
  4. 前記フッ素供与体含有雰囲気との接触を前記プロトン供与体含有雰囲気との接触と同時に行う、請求項1に記載の方法。
  5. 前記プロトン供与体含有雰囲気が、水素、メタン、エタン、アンモニア、水、Cxy(式中、xは1〜5であり、かつyは4〜12である)及びその混合物からなる群から選ばれた一員を含む、請求項1〜4のいずれか1項に記載の方法。
  6. 前記プロトン供与体含有雰囲気が還元性雰囲気を含む、請求項1〜5のいずれか1項に記載の方法。
  7. 前記フッ素供与体含有雰囲気が、F2、NF3、CF4、C26、C38、C48、C48О、CHF3、CОF2、ビスフルオロオキシジフルオロメタン又はその他のCxハイドロフルオロカーボン及びパーフルオロカーボン及び酸化フルオロカーボン(式中、xは1〜6である)及びその混合物からなる群から選ばれたフッ素化合物を含む、請求項1〜6のいずれか1項に記載の方法。
  8. 前記ポロゲンが、
    (a)環状構造及び式:Cn2n(式中、nは4〜14である)を有し、環状構造中の炭素数が4〜10である少なくとも1種類の環状炭化水素、
    (b)式:Cn(2n+2)-2y(式中、nは2〜20であり、yは0−nである)を有する、線状もしくは分岐の、飽和又は部分もしくは完全不飽和の少なくとも1種類の炭化水素、
    (c)環状構造及び式:Cn2n-2x(式中、xは不飽和部位の数であり、nは4〜14である)を有し、環状構造中の炭素数が4〜10である少なくとも1種類の単基もしくは多基不飽和の環状炭化水素、
    (d)二環式構造及び式:Cn2n-2(式中、nは4〜14である)を有し、二環式構造中の炭素数が4〜12である少なくとも1種類の二環式炭化水素、
    (e)二環式構造及び式:Cn2n-(2+2x)(式中、xは不飽和部位の数であり、nは4〜14である)を有し、二環式構造中の炭素数が4〜12である少なくとも1種類の多基不飽和の二環式炭化水素、
    (f)三環式構造及び式:Cn2n-4(式中、nは4〜14である)を有し、三環式構造中の炭素数が4〜12である少なくとも1種類の三環式炭化水素、及び
    その混合物
    からなる群から選ばれた少なくとも1種類の化合物である、請求項1〜7のいずれか1項に記載の方法。
  9. 前記ポロゲンが、アルファ−テルピネン、リモネン、シクロヘキサン、1,2,4−トリメチルシクロヘキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換されたCxジエン(式中、xは2〜18である)、デカヒドロナフテレン及びその混合物からなる群から選ばれた化合物を含む、請求項1〜8のいずれか1項に記載の方法。
  10. 前記フッ素供与体含有雰囲気が酸素源を含む、請求項1〜9のいずれか1項に記載の方法。
  11. 前記フッ素供与体含有雰囲気が、酸素、オゾン、水、一酸化窒素、亜酸化窒素、二酸化窒素、二酸化珪素及びその混合物からなる群から選ばれた酸素源を含む、請求項1〜10のいずれか1項に記載の方法。
  12. 前記薄膜の前駆体と前記ポロゲンが同一の分子中に含まれる、請求項1〜11のいずれか1項に記載の方法。
  13. 前記多孔質膜が、ジエトキシメチルシラン、ジメトキシメチルシラン、ジイソプロポキシメチルシラン、ジ−t−ブトキシメチルシラン、メチルトリエトキシシラン、メチルトリメトキシシラン、メチルトリイソプロポキシシラン、メチルトリ−t−ブトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジイソプロポキシシラン、ジメチルジ−t−ブトキシシラン、1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、テトラエトキシシラン及びその混合物からなる群から選ばれる、請求項1〜12のいずれか1項に記載の方法。
  14. 前記多孔質膜が、次式によって表される組成をもった有機珪素ガラス:
    SivОwxyz
    (式中、v+w+x+y+zは100%であり、vは5〜35原子%であり、wは10〜65原子%であり、xは5〜70原子%であり、yは10〜70原子%であり、そしてzは0〜15原子%である)を含む、請求項1〜13のいずれか1項に記載の方法。
  15. 半導体材料のCVD処理室においてポロゲンを含有する多孔質誘電性薄膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
    前記装置表面に接触する帯域を排気すること、
    前記帯域をプラズマ条件下に維持すること、
    前記装置表面にプロトン供与体含有雰囲気を接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
    前記装置表面に接触する帯域を排気すること、
    前記装置表面をフッ素供与体及び酸素源を含有する雰囲気と接触させてその装置表面に堆積せしめられた誘電性薄膜と反応させ、前記装置表面をクリーニングすること、
    を含んでなる、装置表面のクリーニング方法。
  16. 前記プロトン供与体含有雰囲気が不活性ガスを含む、請求項15に記載の方法。
  17. 前記フッ素供与体含有雰囲気が不活性ガスを含む、請求項15又は16に記載の方法。
  18. 500〜5000ワットの高周波によってプラズマを発生させる、請求項15〜17のいずれか1項に記載の方法。
  19. 600トル以下の圧力まで排気を実施する、請求項15〜18のいずれか1項に記載の方法。
  20. 半導体材料のCVD処理室においてアルファ−テルピネンポロゲンを含有するジエトキシメチルシランから多孔質誘電性薄膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
    (a)前記装置表面に接触する帯域を600トル以下の圧力まで排気すること、
    (b)前記帯域を1000〜2000ワットの高周波によって発生せしめられたプラズマ条件下に維持すること、
    (c)前記装置表面に水素を接触させてその装置表面に堆積せしめられたアルファ−テルピネンポロゲンと反応させること、
    (d)前記装置表面に接触する帯域を600トル以下の圧力まで排気すること、及び
    (e)前記装置表面をNF3及びО2と接触させてその装置表面に堆積せしめられた誘電性薄膜と反応させ、前記装置表面をクリーニングすること、
    を含んでなる、装置表面のクリーニング方法。
  21. 半導体材料のCVD処理室においてアルファ−テルピネンポロゲンを含有するジエトキシメチルシランから多孔質誘電性薄膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
    (a)前記装置表面に接触する帯域を600トル以下の圧力まで排気すること、
    (b)前記帯域を1000〜2000ワットの高周波によって発生せしめられたプラズマ条件下に維持すること、
    (c)前記装置表面に水素を接触させてその装置表面に堆積せしめられたアルファ−テルピネンポロゲンと反応させること、
    (d)前記装置表面に接触する帯域を600トル以下の圧力まで排気すること、及び
    (e)前記装置表面をC26及びО2と接触させてその装置表面に堆積せしめられた誘電性薄膜と反応させ、前記装置表面をクリーニングすること、
    を含んでなる、装置表面のクリーニング方法。
JP2008179411A 2004-01-23 2008-07-09 半導体材料処理室における装置表面のクリーニング方法 Withdrawn JP2008263230A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53883204P 2004-01-23 2004-01-23
US11/019,709 US20050161060A1 (en) 2004-01-23 2004-12-22 Cleaning CVD chambers following deposition of porogen-containing materials

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005015810A Division JP2005210130A (ja) 2004-01-23 2005-01-24 半導体材料処理室における装置表面のクリーニング方法

Publications (1)

Publication Number Publication Date
JP2008263230A true JP2008263230A (ja) 2008-10-30

Family

ID=34681025

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2005015810A Withdrawn JP2005210130A (ja) 2004-01-23 2005-01-24 半導体材料処理室における装置表面のクリーニング方法
JP2008179411A Withdrawn JP2008263230A (ja) 2004-01-23 2008-07-09 半導体材料処理室における装置表面のクリーニング方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2005015810A Withdrawn JP2005210130A (ja) 2004-01-23 2005-01-24 半導体材料処理室における装置表面のクリーニング方法

Country Status (7)

Country Link
US (1) US20050161060A1 (ja)
EP (1) EP1561841A2 (ja)
JP (2) JP2005210130A (ja)
KR (1) KR100725078B1 (ja)
CN (1) CN1651159A (ja)
SG (1) SG113617A1 (ja)
TW (1) TWI248126B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015529395A (ja) * 2012-08-23 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uvチャンバを洗浄するための方法及びハードウェア

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7727401B2 (en) * 2004-11-09 2010-06-01 Air Products And Chemicals, Inc. Selective purification of mono-terpenes for removal of oxygen containing species
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7479191B1 (en) * 2005-04-22 2009-01-20 Novellus Systems, Inc. Method for endpointing CVD chamber cleans following ultra low-k film treatments
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
CN102077316A (zh) * 2008-06-27 2011-05-25 应用材料股份有限公司 用于高产量及稳定逐基材表现的快速周期和广泛的后期紫外臭氧清洗程序的添加
US20100018548A1 (en) 2008-07-23 2010-01-28 Applied Materials, Inc. Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20110059617A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US8753986B2 (en) 2009-12-23 2014-06-17 Air Products And Chemicals, Inc. Low k precursors providing superior integration attributes
JP6459489B2 (ja) * 2014-03-11 2019-01-30 三菱マテリアル株式会社 シリカ多孔質膜形成用液組成物及びその液組成物から形成されたシリカ多孔質膜
CN103956331B (zh) * 2014-04-29 2016-09-28 复旦大学 一种用于多孔互连介质表面封孔的薄膜及其制备方法
CN104008997A (zh) * 2014-06-04 2014-08-27 复旦大学 一种超低介电常数绝缘薄膜及其制备方法
CN105239056B (zh) * 2015-10-27 2018-01-26 上海集成电路研发中心有限公司 一种原子层沉积设备以及方法
US10161034B2 (en) 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07100865B2 (ja) * 1986-03-13 1995-11-01 富士通株式会社 減圧cvd処理装置のクリーニング法
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
DE4009391C2 (de) * 1990-03-23 1994-03-31 Binzel Alexander Gmbh Co Kg Antriebseinrichtung für endlose, abschmelzende Drahtelektroden von elektrischen Schweißbrennern
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
JP3253675B2 (ja) * 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
JP2737720B2 (ja) * 1995-10-12 1998-04-08 日本電気株式会社 薄膜形成方法及び装置
US6225434B1 (en) * 1997-08-01 2001-05-01 Ppg Industries Ohio, Inc. Film-forming compositions having improved scratch resistance
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP3112880B2 (ja) * 1998-02-06 2000-11-27 鹿児島日本電気株式会社 Cvd装置のクリーニング方法
KR20010104260A (ko) * 2000-05-12 2001-11-24 조셉 제이. 스위니 화학 증착챔버 내부의 오염물을 제거하기 위한 가스 반응
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
WO2004095555A1 (ja) * 2003-04-22 2004-11-04 Tokyo Electron Limited 熱処理装置のクリーニング方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015529395A (ja) * 2012-08-23 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uvチャンバを洗浄するための方法及びハードウェア

Also Published As

Publication number Publication date
JP2005210130A (ja) 2005-08-04
TW200527531A (en) 2005-08-16
KR20050076773A (ko) 2005-07-27
SG113617A1 (en) 2005-08-29
CN1651159A (zh) 2005-08-10
US20050161060A1 (en) 2005-07-28
TWI248126B (en) 2006-01-21
EP1561841A2 (en) 2005-08-10
KR100725078B1 (ko) 2007-06-08

Similar Documents

Publication Publication Date Title
JP2008263230A (ja) 半導体材料処理室における装置表面のクリーニング方法
KR102625367B1 (ko) 반도체 구조를 에칭하기 위한 질소-함유 화합물
US7581549B2 (en) Method for removing carbon-containing residues from a substrate
TWI756330B (zh) 用於蝕刻半導體結構之含碘化合物
TWI425593B (zh) 整合氣隙之方法
KR102153246B1 (ko) 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
KR102337603B1 (ko) 조밀한 osg 필름용 실릴 가교된 알킬 화합물의 용도
JP6527677B2 (ja) パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
US8236684B2 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
JP2011517848A (ja) 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法
US7479191B1 (en) Method for endpointing CVD chamber cleans following ultra low-k film treatments
TW201300567A (zh) 藉由紫外線輔助之光化學沉積而介電回復電漿損壞之低介電常數薄膜
JP2017050413A (ja) プラズマエッチング方法
TWI762761B (zh) 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑
JP4986661B2 (ja) 絶縁膜の形成方法およびこれを用いた半導体装置
JP4986660B2 (ja) 絶縁膜の形成方法およびこれを用いた半導体装置
JP2012190831A (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080804

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080804

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090302