JP2008263230A - 半導体材料処理室における装置表面のクリーニング方法 - Google Patents
半導体材料処理室における装置表面のクリーニング方法 Download PDFInfo
- Publication number
- JP2008263230A JP2008263230A JP2008179411A JP2008179411A JP2008263230A JP 2008263230 A JP2008263230 A JP 2008263230A JP 2008179411 A JP2008179411 A JP 2008179411A JP 2008179411 A JP2008179411 A JP 2008179411A JP 2008263230 A JP2008263230 A JP 2008263230A
- Authority
- JP
- Japan
- Prior art keywords
- device surface
- cleaning
- porogen
- processing chamber
- containing atmosphere
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 238000000034 method Methods 0.000 title claims abstract description 104
- 238000004140 cleaning Methods 0.000 title claims abstract description 69
- 238000012545 processing Methods 0.000 title claims abstract description 49
- 239000000463 material Substances 0.000 title claims abstract description 19
- 239000004065 semiconductor Substances 0.000 title claims abstract description 14
- 239000003361 porogen Substances 0.000 claims abstract description 46
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 42
- 239000011737 fluorine Substances 0.000 claims abstract description 42
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 42
- 239000010409 thin film Substances 0.000 claims abstract description 27
- 238000000151 deposition Methods 0.000 claims abstract description 26
- 239000010408 film Substances 0.000 claims abstract description 7
- 150000002430 hydrocarbons Chemical class 0.000 claims description 17
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 15
- 239000000203 mixture Substances 0.000 claims description 15
- 229930195733 hydrocarbon Natural products 0.000 claims description 14
- 239000001257 hydrogen Substances 0.000 claims description 14
- 229910052739 hydrogen Inorganic materials 0.000 claims description 14
- YHQGMYUVUMAZJR-UHFFFAOYSA-N α-terpinene Chemical compound CC(C)C1=CC=C(C)CC1 YHQGMYUVUMAZJR-UHFFFAOYSA-N 0.000 claims description 14
- -1 Bicyclic hydrocarbons Chemical class 0.000 claims description 13
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 13
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 13
- 239000001301 oxygen Substances 0.000 claims description 13
- 229910052760 oxygen Inorganic materials 0.000 claims description 13
- 229910052799 carbon Inorganic materials 0.000 claims description 12
- 125000004122 cyclic group Chemical group 0.000 claims description 12
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 11
- 239000004215 Carbon black (E152) Substances 0.000 claims description 10
- 125000002619 bicyclic group Chemical group 0.000 claims description 9
- 150000001875 compounds Chemical class 0.000 claims description 9
- WSTYNZDAOAEEKG-UHFFFAOYSA-N Mayol Natural products CC1=C(O)C(=O)C=C2C(CCC3(C4CC(C(CC4(CCC33C)C)=O)C)C)(C)C3=CC=C21 WSTYNZDAOAEEKG-UHFFFAOYSA-N 0.000 claims description 7
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 6
- 125000000753 cycloalkyl group Chemical group 0.000 claims description 6
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical group CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 claims description 6
- 239000002243 precursor Substances 0.000 claims description 6
- 239000000377 silicon dioxide Substances 0.000 claims description 5
- VCJPCEVERINRSG-UHFFFAOYSA-N 1,2,4-trimethylcyclohexane Chemical compound CC1CCC(C)C(C)C1 VCJPCEVERINRSG-UHFFFAOYSA-N 0.000 claims description 4
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 claims description 4
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 claims description 4
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 claims description 4
- ORILYTVJVMAKLC-UHFFFAOYSA-N adamantane Chemical compound C1C(C2)CC3CC1CC2C3 ORILYTVJVMAKLC-UHFFFAOYSA-N 0.000 claims description 4
- CRPUJAZIXJMDBK-UHFFFAOYSA-N camphene Chemical compound C1CC2C(=C)C(C)(C)C1C2 CRPUJAZIXJMDBK-UHFFFAOYSA-N 0.000 claims description 4
- XMGQYMWWDOXHJM-UHFFFAOYSA-N limonene Chemical compound CC(=C)C1CCC(C)=CC1 XMGQYMWWDOXHJM-UHFFFAOYSA-N 0.000 claims description 4
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 4
- 229920006395 saturated elastomer Polymers 0.000 claims description 4
- 235000012239 silicon dioxide Nutrition 0.000 claims description 4
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 claims description 3
- 125000004432 carbon atom Chemical group C* 0.000 claims description 3
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 3
- 229910001868 water Inorganic materials 0.000 claims description 3
- RYOGZVTWMZNTGL-UDRCNDPASA-N (1z,5z)-1,5-dimethylcycloocta-1,5-diene Chemical compound C\C1=C\CC\C(C)=C/CC1 RYOGZVTWMZNTGL-UDRCNDPASA-N 0.000 claims description 2
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 claims description 2
- JZTYABBFHFUJSS-UHFFFAOYSA-N CC(C)OC([SiH3])OC(C)C Chemical compound CC(C)OC([SiH3])OC(C)C JZTYABBFHFUJSS-UHFFFAOYSA-N 0.000 claims description 2
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 claims description 2
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 claims description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 2
- PXRCIOIWVGAZEP-UHFFFAOYSA-N Primaeres Camphenhydrat Natural products C1CC2C(O)(C)C(C)(C)C1C2 PXRCIOIWVGAZEP-UHFFFAOYSA-N 0.000 claims description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 2
- XCPQUQHBVVXMRQ-UHFFFAOYSA-N alpha-Fenchene Natural products C1CC2C(=C)CC1C2(C)C XCPQUQHBVVXMRQ-UHFFFAOYSA-N 0.000 claims description 2
- LMWRZXGRVUIGGC-UHFFFAOYSA-N bis[(2-methylpropan-2-yl)oxy]methylsilane Chemical compound CC(C)(C)OC([SiH3])OC(C)(C)C LMWRZXGRVUIGGC-UHFFFAOYSA-N 0.000 claims description 2
- 229930006739 camphene Natural products 0.000 claims description 2
- ZYPYEBYNXWUCEA-UHFFFAOYSA-N camphenilone Natural products C1CC2C(=O)C(C)(C)C1C2 ZYPYEBYNXWUCEA-UHFFFAOYSA-N 0.000 claims description 2
- 150000001993 dienes Chemical class 0.000 claims description 2
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 claims description 2
- XYYQWMDBQFSCPB-UHFFFAOYSA-N dimethoxymethylsilane Chemical compound COC([SiH3])OC XYYQWMDBQFSCPB-UHFFFAOYSA-N 0.000 claims description 2
- BGPNEHJZZDIFND-UHFFFAOYSA-N dimethyl-bis[(2-methylpropan-2-yl)oxy]silane Chemical compound CC(C)(C)O[Si](C)(C)OC(C)(C)C BGPNEHJZZDIFND-UHFFFAOYSA-N 0.000 claims description 2
- BPXCAJONOPIXJI-UHFFFAOYSA-N dimethyl-di(propan-2-yloxy)silane Chemical compound CC(C)O[Si](C)(C)OC(C)C BPXCAJONOPIXJI-UHFFFAOYSA-N 0.000 claims description 2
- YYLGKUPAFFKGRQ-UHFFFAOYSA-N dimethyldiethoxysilane Chemical compound CCO[Si](C)(C)OCC YYLGKUPAFFKGRQ-UHFFFAOYSA-N 0.000 claims description 2
- 239000011521 glass Substances 0.000 claims description 2
- 235000001510 limonene Nutrition 0.000 claims description 2
- 229940087305 limonene Drugs 0.000 claims description 2
- 239000012528 membrane Substances 0.000 claims description 2
- HLXDKGBELJJMHR-UHFFFAOYSA-N methyl-tri(propan-2-yloxy)silane Chemical compound CC(C)O[Si](C)(OC(C)C)OC(C)C HLXDKGBELJJMHR-UHFFFAOYSA-N 0.000 claims description 2
- BFXIKLCIZHOAAZ-UHFFFAOYSA-N methyltrimethoxysilane Chemical compound CO[Si](C)(OC)OC BFXIKLCIZHOAAZ-UHFFFAOYSA-N 0.000 claims description 2
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 claims description 2
- 239000001272 nitrous oxide Substances 0.000 claims description 2
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 2
- 229910000077 silane Inorganic materials 0.000 claims description 2
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 claims description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims 2
- 239000011261 inert gas Substances 0.000 claims 2
- GMLJCMXFMUEABC-UHFFFAOYSA-N [difluoro(fluorooxy)methyl] hypofluorite Chemical compound FOC(F)(F)OF GMLJCMXFMUEABC-UHFFFAOYSA-N 0.000 claims 1
- 229910021529 ammonia Inorganic materials 0.000 claims 1
- 125000004429 atom Chemical group 0.000 claims 1
- 150000002222 fluorine compounds Chemical class 0.000 claims 1
- 150000002431 hydrogen Chemical class 0.000 claims 1
- 238000005229 chemical vapour deposition Methods 0.000 description 49
- 210000002381 plasma Anatomy 0.000 description 46
- 238000010560 atom transfer radical polymerization reaction Methods 0.000 description 15
- 230000008021 deposition Effects 0.000 description 14
- 238000006243 chemical reaction Methods 0.000 description 10
- 235000012431 wafers Nutrition 0.000 description 10
- 238000001020 plasma etching Methods 0.000 description 9
- 238000005173 quadrupole mass spectroscopy Methods 0.000 description 9
- 101710141544 Allatotropin-related peptide Proteins 0.000 description 8
- 239000007789 gas Substances 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 239000006227 byproduct Substances 0.000 description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 5
- 125000001153 fluoro group Chemical group F* 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- 239000010410 layer Substances 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 3
- 239000007795 chemical reaction product Substances 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 229920002521 macromolecule Polymers 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 239000001294 propane Substances 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- RBHUHOJMUHMRDK-UHFFFAOYSA-N [diethoxy(phenyl)silyl]methyl-diethoxy-phenylsilane Chemical compound C=1C=CC=CC=1[Si](OCC)(OCC)C[Si](OCC)(OCC)C1=CC=CC=C1 RBHUHOJMUHMRDK-UHFFFAOYSA-N 0.000 description 2
- JWVHPGDCFVOYMQ-UHFFFAOYSA-N [dimethoxy(methyl)silyl]oxy-dimethoxy-methylsilane Chemical compound CO[Si](C)(OC)O[Si](C)(OC)OC JWVHPGDCFVOYMQ-UHFFFAOYSA-N 0.000 description 2
- KCCVBXQGWAXUSD-UHFFFAOYSA-N [dimethoxy(phenyl)silyl]oxy-dimethoxy-phenylsilane Chemical compound C=1C=CC=CC=1[Si](OC)(OC)O[Si](OC)(OC)C1=CC=CC=C1 KCCVBXQGWAXUSD-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000001186 cumulative effect Effects 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- NYROPPYCYUGLLK-UHFFFAOYSA-N diethoxymethyl(diethoxymethylsilylmethyl)silane Chemical compound CCOC(OCC)[SiH2]C[SiH2]C(OCC)OCC NYROPPYCYUGLLK-UHFFFAOYSA-N 0.000 description 2
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 2
- ZPILIIPBPKDNTR-UHFFFAOYSA-N dimethoxymethyl(dimethoxymethylsilylmethyl)silane Chemical compound COC(OC)[SiH2]C[SiH2]C(OC)OC ZPILIIPBPKDNTR-UHFFFAOYSA-N 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- JHPRUQCZUHFSAZ-UHFFFAOYSA-N ethoxy-[[ethoxy(dimethyl)silyl]methyl]-dimethylsilane Chemical compound CCO[Si](C)(C)C[Si](C)(C)OCC JHPRUQCZUHFSAZ-UHFFFAOYSA-N 0.000 description 2
- NVOGZRLXCWJNIK-UHFFFAOYSA-N ethoxy-[[ethoxy(diphenyl)silyl]methyl]-diphenylsilane Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(OCC)C[Si](OCC)(C=1C=CC=CC=1)C1=CC=CC=C1 NVOGZRLXCWJNIK-UHFFFAOYSA-N 0.000 description 2
- NPOYZXWZANURMM-UHFFFAOYSA-N ethoxy-[ethoxy(dimethyl)silyl]oxy-dimethylsilane Chemical compound CCO[Si](C)(C)O[Si](C)(C)OCC NPOYZXWZANURMM-UHFFFAOYSA-N 0.000 description 2
- 150000002605 large molecules Chemical class 0.000 description 2
- TZPAJACAFHXKJA-UHFFFAOYSA-N methoxy-[[methoxy(dimethyl)silyl]methyl]-dimethylsilane Chemical compound CO[Si](C)(C)C[Si](C)(C)OC TZPAJACAFHXKJA-UHFFFAOYSA-N 0.000 description 2
- UQNPZTRHCNSLML-UHFFFAOYSA-N methoxy-[[methoxy(diphenyl)silyl]methyl]-diphenylsilane Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(OC)C[Si](OC)(C=1C=CC=CC=1)C1=CC=CC=C1 UQNPZTRHCNSLML-UHFFFAOYSA-N 0.000 description 2
- CDHRQJOOOVAAFQ-UHFFFAOYSA-N methoxy-[methoxy(diphenyl)silyl]oxy-diphenylsilane Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(OC)O[Si](OC)(C=1C=CC=CC=1)C1=CC=CC=C1 CDHRQJOOOVAAFQ-UHFFFAOYSA-N 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 229930195734 saturated hydrocarbon Natural products 0.000 description 2
- 150000003505 terpenes Chemical class 0.000 description 2
- 235000007586 terpenes Nutrition 0.000 description 2
- YZVRVDPMGYFCGL-UHFFFAOYSA-N triacetyloxysilyl acetate Chemical compound CC(=O)O[Si](OC(C)=O)(OC(C)=O)OC(C)=O YZVRVDPMGYFCGL-UHFFFAOYSA-N 0.000 description 2
- NIINUVYELHEORX-UHFFFAOYSA-N triethoxy(triethoxysilylmethyl)silane Chemical compound CCO[Si](OCC)(OCC)C[Si](OCC)(OCC)OCC NIINUVYELHEORX-UHFFFAOYSA-N 0.000 description 2
- GYTROFMCUJZKNA-UHFFFAOYSA-N triethyl triethoxysilyl silicate Chemical compound CCO[Si](OCC)(OCC)O[Si](OCC)(OCC)OCC GYTROFMCUJZKNA-UHFFFAOYSA-N 0.000 description 2
- DJYGUVIGOGFJOF-UHFFFAOYSA-N trimethoxy(trimethoxysilylmethyl)silane Chemical compound CO[Si](OC)(OC)C[Si](OC)(OC)OC DJYGUVIGOGFJOF-UHFFFAOYSA-N 0.000 description 2
- XOAJIYVOSJHEQB-UHFFFAOYSA-N trimethyl trimethoxysilyl silicate Chemical compound CO[Si](OC)(OC)O[Si](OC)(OC)OC XOAJIYVOSJHEQB-UHFFFAOYSA-N 0.000 description 2
- YRUALOZSEADDBR-UHFFFAOYSA-N triphenyl triphenoxysilyl silicate Chemical compound C=1C=CC=CC=1O[Si](O[Si](OC=1C=CC=CC=1)(OC=1C=CC=CC=1)OC=1C=CC=CC=1)(OC=1C=CC=CC=1)OC1=CC=CC=C1 YRUALOZSEADDBR-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 2
- LOHDXSNFEBGLKH-UHFFFAOYSA-N (4-diethoxysilylcyclohexyl)-diethoxysilane Chemical compound CCO[SiH](OCC)C1CCC([SiH](OCC)OCC)CC1 LOHDXSNFEBGLKH-UHFFFAOYSA-N 0.000 description 1
- JZGPNMKIIPNQMH-UHFFFAOYSA-N 2,2-dimethylpropyl(triethoxy)silane Chemical compound CCO[Si](CC(C)(C)C)(OCC)OCC JZGPNMKIIPNQMH-UHFFFAOYSA-N 0.000 description 1
- VOECEDYCLDWDNN-UHFFFAOYSA-N 2,2-dimethylpropyl-bis[(2-methylpropan-2-yl)oxy]silane Chemical compound CC(C)(C)C[SiH](OC(C)(C)C)OC(C)(C)C VOECEDYCLDWDNN-UHFFFAOYSA-N 0.000 description 1
- HITBDIPWYKTHIH-UHFFFAOYSA-N 2-[diethoxy(methyl)silyl]ethyl-diethoxy-methylsilane Chemical compound CCO[Si](C)(OCC)CC[Si](C)(OCC)OCC HITBDIPWYKTHIH-UHFFFAOYSA-N 0.000 description 1
- JOSFPPZLRPBITJ-UHFFFAOYSA-N 2-[diethoxy(phenyl)silyl]ethyl-diethoxy-phenylsilane Chemical compound C=1C=CC=CC=1[Si](OCC)(OCC)CC[Si](OCC)(OCC)C1=CC=CC=C1 JOSFPPZLRPBITJ-UHFFFAOYSA-N 0.000 description 1
- BREODMDWUNWWSR-UHFFFAOYSA-N 2-[dimethoxy(phenyl)silyl]ethyl-dimethoxy-phenylsilane Chemical compound C=1C=CC=CC=1[Si](OC)(OC)CC[Si](OC)(OC)C1=CC=CC=C1 BREODMDWUNWWSR-UHFFFAOYSA-N 0.000 description 1
- GCXLGSIZNKSBFI-UHFFFAOYSA-N 3-[dimethoxy(phenyl)silyl]propyl-dimethoxy-phenylsilane Chemical compound C=1C=CC=CC=1[Si](OC)(OC)CCC[Si](OC)(OC)C1=CC=CC=C1 GCXLGSIZNKSBFI-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910016569 AlF 3 Inorganic materials 0.000 description 1
- YZGSWMBTQCLHEI-UHFFFAOYSA-N COC(OC)[SiH2]CCC[SiH2]C(OC)OC Chemical compound COC(OC)[SiH2]CCC[SiH2]C(OC)OC YZGSWMBTQCLHEI-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical class [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- GEVLQTJAHGKELA-UHFFFAOYSA-N [acetyloxy(dibutyl)silyl] acetate Chemical compound CCCC[Si](OC(C)=O)(OC(C)=O)CCCC GEVLQTJAHGKELA-UHFFFAOYSA-N 0.000 description 1
- JQNJIBYLKBOSCM-UHFFFAOYSA-N [acetyloxy(diethyl)silyl] acetate Chemical compound CC(=O)O[Si](CC)(CC)OC(C)=O JQNJIBYLKBOSCM-UHFFFAOYSA-N 0.000 description 1
- RQVFGTYFBUVGOP-UHFFFAOYSA-N [acetyloxy(dimethyl)silyl] acetate Chemical compound CC(=O)O[Si](C)(C)OC(C)=O RQVFGTYFBUVGOP-UHFFFAOYSA-N 0.000 description 1
- CNOSCOOHMORKEM-UHFFFAOYSA-N [acetyloxy(dipropyl)silyl] acetate Chemical compound CCC[Si](CCC)(OC(C)=O)OC(C)=O CNOSCOOHMORKEM-UHFFFAOYSA-N 0.000 description 1
- BZWVEBHXQQJABL-UHFFFAOYSA-N [acetyloxy(ditert-butyl)silyl] acetate Chemical compound CC(=O)O[Si](C(C)(C)C)(C(C)(C)C)OC(C)=O BZWVEBHXQQJABL-UHFFFAOYSA-N 0.000 description 1
- XFIGPQRDRBODEW-UHFFFAOYSA-N [acetyloxy-di(butan-2-yl)silyl] acetate Chemical compound CCC(C)[Si](OC(C)=O)(OC(C)=O)C(C)CC XFIGPQRDRBODEW-UHFFFAOYSA-N 0.000 description 1
- VVEUPQPNIPCUAP-UHFFFAOYSA-N [acetyloxy-di(propan-2-yl)silyl] acetate Chemical compound CC(=O)O[Si](C(C)C)(OC(C)=O)C(C)C VVEUPQPNIPCUAP-UHFFFAOYSA-N 0.000 description 1
- AMMBBTRQODMXBJ-UHFFFAOYSA-N [diacetyloxy(2,2-dimethylpropyl)silyl] acetate Chemical compound CC(=O)O[Si](CC(C)(C)C)(OC(C)=O)OC(C)=O AMMBBTRQODMXBJ-UHFFFAOYSA-N 0.000 description 1
- SBNXBIZLFKPLGC-UHFFFAOYSA-N [diacetyloxy(2-methylbutan-2-yl)silyl] acetate Chemical compound CCC(C)(C)[Si](OC(C)=O)(OC(C)=O)OC(C)=O SBNXBIZLFKPLGC-UHFFFAOYSA-N 0.000 description 1
- OCDDDGXATNYKNE-UHFFFAOYSA-N [diacetyloxy(2-methylpropyl)silyl] acetate Chemical compound CC(C)C[Si](OC(C)=O)(OC(C)=O)OC(C)=O OCDDDGXATNYKNE-UHFFFAOYSA-N 0.000 description 1
- WESZQDJAJDRCTJ-UHFFFAOYSA-N [diacetyloxy(3-methylbutyl)silyl] acetate Chemical compound CC(C)CC[Si](OC(C)=O)(OC(C)=O)OC(C)=O WESZQDJAJDRCTJ-UHFFFAOYSA-N 0.000 description 1
- HMFDYVAOQGALQD-UHFFFAOYSA-N [diacetyloxy(butan-2-yl)silyl] acetate Chemical compound CCC(C)[Si](OC(C)=O)(OC(C)=O)OC(C)=O HMFDYVAOQGALQD-UHFFFAOYSA-N 0.000 description 1
- LSDYFQXXPCPBQV-UHFFFAOYSA-N [diacetyloxy(butyl)silyl] acetate Chemical compound CCCC[Si](OC(C)=O)(OC(C)=O)OC(C)=O LSDYFQXXPCPBQV-UHFFFAOYSA-N 0.000 description 1
- KXJLGCBCRCSXQF-UHFFFAOYSA-N [diacetyloxy(ethyl)silyl] acetate Chemical compound CC(=O)O[Si](CC)(OC(C)=O)OC(C)=O KXJLGCBCRCSXQF-UHFFFAOYSA-N 0.000 description 1
- TVJPBVNWVPUZBM-UHFFFAOYSA-N [diacetyloxy(methyl)silyl] acetate Chemical compound CC(=O)O[Si](C)(OC(C)=O)OC(C)=O TVJPBVNWVPUZBM-UHFFFAOYSA-N 0.000 description 1
- VSPHJZAEQXXJAS-UHFFFAOYSA-N [diacetyloxy(pentan-2-yl)silyl] acetate Chemical compound CCCC(C)[Si](OC(C)=O)(OC(C)=O)OC(C)=O VSPHJZAEQXXJAS-UHFFFAOYSA-N 0.000 description 1
- OJBYIHGSXQWCMB-UHFFFAOYSA-N [diacetyloxy(pentyl)silyl] acetate Chemical compound CCCCC[Si](OC(C)=O)(OC(C)=O)OC(C)=O OJBYIHGSXQWCMB-UHFFFAOYSA-N 0.000 description 1
- VLFKGWCMFMCFRM-UHFFFAOYSA-N [diacetyloxy(phenyl)silyl] acetate Chemical compound CC(=O)O[Si](OC(C)=O)(OC(C)=O)C1=CC=CC=C1 VLFKGWCMFMCFRM-UHFFFAOYSA-N 0.000 description 1
- IXUUFMQHBRPODL-UHFFFAOYSA-N [diacetyloxy(propan-2-yl)silyl] acetate Chemical compound CC(=O)O[Si](C(C)C)(OC(C)=O)OC(C)=O IXUUFMQHBRPODL-UHFFFAOYSA-N 0.000 description 1
- DKGZKEKMWBGTIB-UHFFFAOYSA-N [diacetyloxy(propyl)silyl] acetate Chemical compound CCC[Si](OC(C)=O)(OC(C)=O)OC(C)=O DKGZKEKMWBGTIB-UHFFFAOYSA-N 0.000 description 1
- RWJOZDOPYLCIII-UHFFFAOYSA-N [diethoxy(methyl)silyl] triethyl silicate Chemical compound CCO[Si](C)(OCC)O[Si](OCC)(OCC)OCC RWJOZDOPYLCIII-UHFFFAOYSA-N 0.000 description 1
- OPHLEQJKSDAYRR-UHFFFAOYSA-N [diethoxy(methyl)silyl]oxy-diethoxy-methylsilane Chemical compound CCO[Si](C)(OCC)O[Si](C)(OCC)OCC OPHLEQJKSDAYRR-UHFFFAOYSA-N 0.000 description 1
- TUOVZLSNRINXRH-UHFFFAOYSA-N [diethoxy(phenyl)silyl] triethyl silicate Chemical compound CCO[Si](OCC)(OCC)O[Si](OCC)(OCC)C1=CC=CC=C1 TUOVZLSNRINXRH-UHFFFAOYSA-N 0.000 description 1
- ADGIGASJNRINPY-UHFFFAOYSA-N [diethoxy(phenyl)silyl]oxy-diethoxy-phenylsilane Chemical compound C=1C=CC=CC=1[Si](OCC)(OCC)O[Si](OCC)(OCC)C1=CC=CC=C1 ADGIGASJNRINPY-UHFFFAOYSA-N 0.000 description 1
- OCOJQBCZDTYVGH-UHFFFAOYSA-N [dimethoxy(phenyl)silyl] trimethyl silicate Chemical compound CO[Si](OC)(OC)O[Si](OC)(OC)C1=CC=CC=C1 OCOJQBCZDTYVGH-UHFFFAOYSA-N 0.000 description 1
- PWDHJVSLINAQFE-UHFFFAOYSA-N [dimethoxy(phenyl)silyl]methyl-dimethoxy-phenylsilane Chemical compound C=1C=CC=CC=1[Si](OC)(OC)C[Si](OC)(OC)C1=CC=CC=C1 PWDHJVSLINAQFE-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 239000011260 aqueous acid Substances 0.000 description 1
- 239000003125 aqueous solvent Substances 0.000 description 1
- 150000001721 carbon Chemical group 0.000 description 1
- 229910002090 carbon oxide Inorganic materials 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 229920006237 degradable polymer Polymers 0.000 description 1
- 239000000412 dendrimer Substances 0.000 description 1
- 229920000736 dendritic polymer Polymers 0.000 description 1
- DVAZURRZYNXADH-UHFFFAOYSA-N diethoxy(pentyl)silane Chemical compound CCCCC[SiH](OCC)OCC DVAZURRZYNXADH-UHFFFAOYSA-N 0.000 description 1
- MSGQAOMQUPZKKZ-UHFFFAOYSA-N diethoxy-[ethoxy(dimethyl)silyl]oxy-methylsilane Chemical compound CCO[Si](C)(C)O[Si](C)(OCC)OCC MSGQAOMQUPZKKZ-UHFFFAOYSA-N 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- OODQPDAIYZQAPM-UHFFFAOYSA-N dimethoxy-[methoxy(dimethyl)silyl]oxy-methylsilane Chemical compound CO[Si](C)(C)O[Si](C)(OC)OC OODQPDAIYZQAPM-UHFFFAOYSA-N 0.000 description 1
- ZBLIADCAEOVUFG-UHFFFAOYSA-N dimethoxy-[methoxy(diphenyl)silyl]oxy-phenylsilane Chemical compound C=1C=CC=CC=1[Si](OC)(OC)O[Si](OC)(C=1C=CC=CC=1)C1=CC=CC=C1 ZBLIADCAEOVUFG-UHFFFAOYSA-N 0.000 description 1
- INWLXRCMYMCHJZ-UHFFFAOYSA-N dimethoxymethyl(ethyl)silane Chemical compound COC(OC)[SiH2]CC INWLXRCMYMCHJZ-UHFFFAOYSA-N 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- UAPXIVAOXRNIFF-UHFFFAOYSA-N ethoxy-[2-[ethoxy(dimethyl)silyl]ethyl]-dimethylsilane Chemical compound CCO[Si](C)(C)CC[Si](C)(C)OCC UAPXIVAOXRNIFF-UHFFFAOYSA-N 0.000 description 1
- TWAXVLVASHDIRG-UHFFFAOYSA-N ethoxy-[2-[ethoxy(diphenyl)silyl]ethyl]-diphenylsilane Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(OCC)CC[Si](OCC)(C=1C=CC=CC=1)C1=CC=CC=C1 TWAXVLVASHDIRG-UHFFFAOYSA-N 0.000 description 1
- JWZBZAYBYGLCGB-UHFFFAOYSA-N ethoxy-[3-[ethoxy(dimethyl)silyl]propyl]-dimethylsilane Chemical compound CCO[Si](C)(C)CCC[Si](C)(C)OCC JWZBZAYBYGLCGB-UHFFFAOYSA-N 0.000 description 1
- QRZBTGCXOVMETC-UHFFFAOYSA-N ethoxy-[ethoxy(diphenyl)silyl]oxy-diphenylsilane Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(OCC)O[Si](OCC)(C=1C=CC=CC=1)C1=CC=CC=C1 QRZBTGCXOVMETC-UHFFFAOYSA-N 0.000 description 1
- QGBMSFLTRRZTGI-UHFFFAOYSA-N ethyl(dimethyl)silane Chemical compound CC[SiH](C)C QGBMSFLTRRZTGI-UHFFFAOYSA-N 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 229920000587 hyperbranched polymer Polymers 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- XPMZASMGMPTBMA-UHFFFAOYSA-N methoxy-[2-[methoxy(dimethyl)silyl]ethyl]-dimethylsilane Chemical compound CO[Si](C)(C)CC[Si](C)(C)OC XPMZASMGMPTBMA-UHFFFAOYSA-N 0.000 description 1
- AVXQPOGRKPQIEB-UHFFFAOYSA-N methoxy-[2-[methoxy(diphenyl)silyl]ethyl]-diphenylsilane Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(OC)CC[Si](OC)(C=1C=CC=CC=1)C1=CC=CC=C1 AVXQPOGRKPQIEB-UHFFFAOYSA-N 0.000 description 1
- YKPAPMPNRQOEHG-UHFFFAOYSA-N methoxy-[3-[methoxy(dimethyl)silyl]propyl]-dimethylsilane Chemical compound CO[Si](C)(C)CCC[Si](C)(C)OC YKPAPMPNRQOEHG-UHFFFAOYSA-N 0.000 description 1
- FQSUQYBVAPWAAN-UHFFFAOYSA-N methoxy-[3-[methoxy(diphenyl)silyl]propyl]-diphenylsilane Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(OC)CCC[Si](OC)(C=1C=CC=CC=1)C1=CC=CC=C1 FQSUQYBVAPWAAN-UHFFFAOYSA-N 0.000 description 1
- XKINWJBZPLWKCW-UHFFFAOYSA-N methoxy-[methoxy(dimethyl)silyl]oxy-dimethylsilane Chemical compound CO[Si](C)(C)O[Si](C)(C)OC XKINWJBZPLWKCW-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 125000001181 organosilyl group Chemical group [SiH3]* 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 238000007086 side reaction Methods 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- OQTSOKXAWXRIAC-UHFFFAOYSA-N tetrabutan-2-yl silicate Chemical compound CCC(C)O[Si](OC(C)CC)(OC(C)CC)OC(C)CC OQTSOKXAWXRIAC-UHFFFAOYSA-N 0.000 description 1
- UQMOLLPKNHFRAC-UHFFFAOYSA-N tetrabutyl silicate Chemical compound CCCCO[Si](OCCCC)(OCCCC)OCCCC UQMOLLPKNHFRAC-UHFFFAOYSA-N 0.000 description 1
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 description 1
- ADLSSRLDGACTEX-UHFFFAOYSA-N tetraphenyl silicate Chemical compound C=1C=CC=CC=1O[Si](OC=1C=CC=CC=1)(OC=1C=CC=CC=1)OC1=CC=CC=C1 ADLSSRLDGACTEX-UHFFFAOYSA-N 0.000 description 1
- ZUEKXCXHTXJYAR-UHFFFAOYSA-N tetrapropan-2-yl silicate Chemical compound CC(C)O[Si](OC(C)C)(OC(C)C)OC(C)C ZUEKXCXHTXJYAR-UHFFFAOYSA-N 0.000 description 1
- ZQZCOBSUOFHDEE-UHFFFAOYSA-N tetrapropyl silicate Chemical compound CCCO[Si](OCCC)(OCCC)OCCC ZQZCOBSUOFHDEE-UHFFFAOYSA-N 0.000 description 1
- BCLLLHFGVQKVKL-UHFFFAOYSA-N tetratert-butyl silicate Chemical compound CC(C)(C)O[Si](OC(C)(C)C)(OC(C)(C)C)OC(C)(C)C BCLLLHFGVQKVKL-UHFFFAOYSA-N 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- PYOKTQVLKOAHRM-UHFFFAOYSA-N triethoxy(3-triethoxysilylpropyl)silane Chemical compound CCO[Si](OCC)(OCC)CCC[Si](OCC)(OCC)OCC PYOKTQVLKOAHRM-UHFFFAOYSA-N 0.000 description 1
- QYBKVVRRGQSGDC-UHFFFAOYSA-N triethyl methyl silicate Chemical compound CCO[Si](OC)(OCC)OCC QYBKVVRRGQSGDC-UHFFFAOYSA-N 0.000 description 1
- JCGDCINCKDQXDX-UHFFFAOYSA-N trimethoxy(2-trimethoxysilylethyl)silane Chemical compound CO[Si](OC)(OC)CC[Si](OC)(OC)OC JCGDCINCKDQXDX-UHFFFAOYSA-N 0.000 description 1
- LQASLKRKZDJCBO-UHFFFAOYSA-N trimethoxy(3-trimethoxysilylpropyl)silane Chemical compound CO[Si](OC)(OC)CCC[Si](OC)(OC)OC LQASLKRKZDJCBO-UHFFFAOYSA-N 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- 229940094989 trimethylsilane Drugs 0.000 description 1
- WSKFJEJIBXEJIL-UHFFFAOYSA-N triphenoxy(2-triphenoxysilylethyl)silane Chemical compound C=1C=CC=CC=1O[Si](OC=1C=CC=CC=1)(OC=1C=CC=CC=1)CC[Si](OC=1C=CC=CC=1)(OC=1C=CC=CC=1)OC1=CC=CC=C1 WSKFJEJIBXEJIL-UHFFFAOYSA-N 0.000 description 1
- OAKRVFBXZCHVCL-UHFFFAOYSA-N triphenoxy(3-triphenoxysilylpropyl)silane Chemical compound C=1C=CC=CC=1O[Si](OC=1C=CC=CC=1)(OC=1C=CC=CC=1)CCC[Si](OC=1C=CC=CC=1)(OC=1C=CC=CC=1)OC1=CC=CC=C1 OAKRVFBXZCHVCL-UHFFFAOYSA-N 0.000 description 1
- AABYOUNGNSKTRH-UHFFFAOYSA-N triphenoxy(triphenoxysilylmethyl)silane Chemical compound C=1C=CC=CC=1O[Si](OC=1C=CC=CC=1)(OC=1C=CC=CC=1)C[Si](OC=1C=CC=CC=1)(OC=1C=CC=CC=1)OC1=CC=CC=C1 AABYOUNGNSKTRH-UHFFFAOYSA-N 0.000 description 1
- SRFNOOYBAUZCIF-UHFFFAOYSA-N triphenylsilyloxysilicon Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(O[Si])C1=CC=CC=C1 SRFNOOYBAUZCIF-UHFFFAOYSA-N 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23G—CLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
- C23G3/00—Apparatus for cleaning or pickling metallic material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/4697—Generating plasma using glow discharges
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Abstract
【課題】半導体材料処理室においてポロゲンを含有する多孔質膜を堆積させた後にその処理室において装置表面をクリーニングする方法を提供すること。
【解決手段】半導体材料処理室の装置表面をプロトン供与体含有雰囲気と接触させてその装置表面に堆積せしめられたポロゲンと反応させることと、装置表面をフッ素供与体含有雰囲気と接触させてその装置表面に堆積せしめられた薄膜と反応させることとを含んでなるように構成する。
【選択図】なし
【解決手段】半導体材料処理室の装置表面をプロトン供与体含有雰囲気と接触させてその装置表面に堆積せしめられたポロゲンと反応させることと、装置表面をフッ素供与体含有雰囲気と接触させてその装置表面に堆積せしめられた薄膜と反応させることとを含んでなるように構成する。
【選択図】なし
Description
化学的気相成長法(“CVD”)のチャンバ(処理室)の場合、粒子汚染を防止するために定期的にクリーニングを行うことが必要である。CVD処理室のクリーニングは、通常、プラズマエッチング法(例えば、C2F6/О2)を使用してCVD残渣(例えば、テトラエチルオルトシリケート、TEОS)を揮発させることによって行われている。しかし、現行の処理室クリーニングプロセスの場合、多孔質誘電材料のCVDに引き続いて残渣を除去するのには有効でない。
CVD堆積法は、基板(典型的には、シリコンウエハ)上に薄膜を形成するうえで望ましいけれども、これらの薄膜を形成する反応は、処理室の内側の露出面においてもまた非生産的な形で発生し、その結果、処理室の室壁、シャワーヘッド及び下流域前部において多量の残渣が残留することとなる。これらの残渣は、通常、炭素、すなわち、炭素含有残渣を含んでいる。また、炭素含有残渣中に存在しうる追加の物質は、例えば、前駆体混合物に由来するシリコン及び(又は)クリーニングに使用される含フッ素ガス系のプラズマに対する暴露及び(又は)フッ素含有前駆体に由来するフッ素を包含する。処理室の内側に炭素含有残渣が堆積すると、粒子の飛散、堆積物の均一性の低下、そして引き続く堆積工程に悪影響を及ぼしうるプロセスドリフトが発生可能である。これらの影響があると、堆積後の構造体において欠陥が導かれ、また、デバイスが故障する。そのために、処理室を定期的にクリーニングして清浄化すること(ここでは、「処理室クリーニング」ともいう)が必要である。上記のような残渣は、引き続いて堆積せしめられる有機ケイ酸塩の複合薄膜の一体性(均一性、組成物の純度、再現可能性)を保証するため、除去しなければならない。場合によっては、上記したような炭素含有残渣がオリゴマー又はポリマーの形で存在することがあるので、残渣の除去がより難しくなる。
非特許文献1を参照すると、CVD処理室は、通常、フルオロカーボンプラズマ(例えば、C2F6)を使用してクリーニングされている。CVD処理室をクリーニングするために半導体工業の全般で一般的に実施されている方法は、C2F6/О2プラズマである。フッ素の不十分な排出時におけるポリマーの形成を防止するためには酸素(О2又はN2О)を添加することが必要である。これらの方法における酸素の役割は、フルオロカーボン(例えば、C2F6)の炭素原子をCО2に変えることである。
特許文献1及び2では、NF3及びその他の希釈剤(例えば、He、Ar、N2)から発生せしめられたプラズマを使用してCVD処理室の壁面からSiNx及びSiО2の残渣を除去している。NF3プラズマ中で発生せしめられたフッ素原子は、CVDの残渣と反応して揮発性の副生成物を形成する。高いエッチング速度を適用したプロセスが開示されている(NF3濃度、圧力、高周波(“RF”)の出力、流量)。
特許文献3は、炭化珪素(SiC)及び有機珪素ガラス(ОSG)のCVDに引き続いて処理室をクリーニングする方法を教示している。水素及びフッ素プラズマの組み合わせ(例えば、H2及びNF3)を使用してSiC及びОSG材料を除去する。特にこの特許の方法は、SiC及びОSG残渣を除去することに向けられている。この方法において、水素プラズマの役割は、SiC及びОSGの構造に固有の成分である珪素−炭素結合を破壊することにある。NF3/О2プラズマを使用して、SiC及びОSG材料を成功裡にクリーニングすることができる。水素プラズマを組み込むことで、エッチング速度を簡単に高めることができる。
特許文献4は、タングステンのCVDに引き続いて処理室をクリーニングする方法を開示している。CVD処理室を、NF3プラズマとそれに続くH2プラズマでクリーニングする。特にこの特許の方法は、タングステン残渣を除去することに向けられている。タングステンは、NF3プラズマによってWF6として揮発せしめられる。引き続いてH2プラズマを使用する目的は、NF3ベースの処理室クリーニング時のフッ素の副生成物を掃去することにある。
特許文献5は、先行する処理室クリーニング中に生成したフッ素副生成物を除去するために使用されるH2プラズマを記載している。一般的に実施されている方法では、CVD処理室をクリーニングした後(例えば、NF3プラズマを使用)、そのCVD処理室において残留量のフッ素が残っている。この特許は、したがって、これらのフッ素残留物を還元性ガス(例えば、H2)との反応を通じて除去する方法を提案している。引き続いてH2プラズマを使用する目的は、NF3ベースの処理室クリーニング時のフッ素の副生成物を掃去することにある。
特許文献6は、先行する処理室クリーニング中に生成したフッ素副生成物を除去するためにH2プラズマが使用されることを教示している。一般的に実施されている方法では、CVD処理室をクリーニングした後(例えば、NF3プラズマを使用)、そのCVD処理室の壁に残留フッ素が含浸せしめられ、CVD薄膜の被着を制限している。この特許は、したがって、これらのフッ素残留物を還元性ガス(例えば、H2)との反応を通じて除去する方法を提案している。引き続いてH2プラズマを使用する目的は、NF3ベースの処理室クリーニング時のフッ素の副生成物を掃去することにある。
特許文献7は、CVD処理室のドームをコンディショニングするために水素プラズマが使用されることを開示している。この発明の目的は、CVD処理室の表面を不動態化(表面安定化)することにある。CVD処理室を構成する材料は、Al2О3を包含する。任意のフッ素ベース(例えば、NF3)の処理室クリーニングの間、その処理室の壁にフッ素が添加せしめられる可能性がある。この特許は、生成したAlF3を水素プラズマを使用していかに除去することができるか、ということを示している。
特許文献8は、CVD処理室で多量の処理(例えば、5000枚のウエハ)を行った後に実施される、慣用の湿式クリーニングに代わりうる発明を記載している。処理室からCVD残渣を除去するものではない。堆積処理を実施した後、CVD処理室は依然として、一般的に採用されている方法(例えば、NF3プラズマ)を使用して定期的に(例えば、1〜5枚のウエハを処理した後)クリーニングしなければならない。また、多数回の堆積及びクリーニングサイクル(例えば、5000回)の後、CVD処理室を分解し、その部品を水性の酸及び溶媒(例えば、HF及びイソプロピルアルコール(“IPA”))を使用してクリーニングしなければならない。すなわち、この特許は、湿式クリーニングに代えてドライエッチングプロセスを使用することを提案している。
特許文献9は、物理的蒸着(“PVD”)又はプラズマ強化CVD(“PECVD”)によって薄膜を堆積させることに先がけて基板をクリーニングするために使用されるH2プラズマを開示している。この特許は、処理室のCVD残渣をクリーニングすることよりはむしろ、基板(例えば、シリコンウエハ)を調製することに向けられている。
特許文献10は、プラズマエッチング中、すなわち、反応性イオンエッチング(“RIE”)中、シリコン基板上にポリマー残渣を堆積させることを教示している。この特許では、このエッチング残渣の除去を促進するため、H2/N2又はNH3/N2混合物を使用している。この特許は、CVDの後に処理室をクリーニングすることよりはむしろ、プラズマエッチングの後にウエハをクリーニングすることに向けられている。
特許文献11は、ゲート絶縁物を形成する前にポリシリコンウエハを清浄にするために使用される水素(例えば、H2)及びハロゲン(例えば、F2)ガスからなるプラズマを記載している。推測されるように、H2及びF2成分からHFが生成せしめられ、このHFによって存在中の酸化物が除去される。すなわち、この特許は、プラズマエッチングプロセスによってCVD処理室をクリーニングすることに関するのではなくて、ウエハのクリーニングに関する。
従来の技術の場合、多孔質誘電材料の堆積を行った後でCVD処理室の壁面からポロゲンを除去することを提案したものは存在しない。ポロゲンとして使用されている、典型的には大きくて不飽和の炭化水素は、従来の技術において考慮もされていなければ注目もされていない特有の問題を提起し、また、かかる炭化水素は、集積回路の製造中に多孔質誘電体を組み込むことに関して重要な問題を投げかけている。本発明は、以下に詳細に説明するように、従来の技術では欠陥としてあったものを、工業上のかかる問題を解決することに向けられている。
本発明は、半導体材料の処理室においてポロゲンを含有する多孔質膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
前記装置表面をプロトン供与体含有雰囲気と接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
前記装置表面をフッ素供与体含有雰囲気と接触させてその装置表面に堆積せしめられた薄膜と反応させること、
を含んでなる、装置表面のクリーニング方法にある。
前記装置表面をプロトン供与体含有雰囲気と接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
前記装置表面をフッ素供与体含有雰囲気と接触させてその装置表面に堆積せしめられた薄膜と反応させること、
を含んでなる、装置表面のクリーニング方法にある。
好ましくは、この方法は、処理室の装置表面を酸素供与体含有雰囲気と接触させて、フッ素供与体との接触時に、特にそのフッ素供与体が酸素を含有しない場合に、その装置表面に堆積せしめられた薄膜と反応させることを包含する。
さらに詳しく述べると、本発明は、半導体材料のCVD処理室においてポロゲンを含有する多孔質誘電性薄膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
前記装置表面に接触した帯域を排気すること、
前記帯域をプラズマ条件下に維持すること、
前記装置表面にプロトン供与体含有雰囲気を接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
前記装置表面に接触した帯域を排気すること、
前記装置表面をフッ素供与体及び酸素源を含有する雰囲気と接触させてその装置表面に堆積せしめられた誘電性薄膜と反応させ、前記装置表面をクリーニングすること、
を含んでなる、装置表面のクリーニング方法にある。
前記装置表面に接触した帯域を排気すること、
前記帯域をプラズマ条件下に維持すること、
前記装置表面にプロトン供与体含有雰囲気を接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
前記装置表面に接触した帯域を排気すること、
前記装置表面をフッ素供与体及び酸素源を含有する雰囲気と接触させてその装置表面に堆積せしめられた誘電性薄膜と反応させ、前記装置表面をクリーニングすること、
を含んでなる、装置表面のクリーニング方法にある。
半導体装置は、シリコンウエハ上において薄膜材料(例えば、二酸化珪素)の堆積とパターニングを順次実施することによって加工されている。薄膜の化学的気相成長法(CVD)を実施する間、シリコンウエハの上ばかりでなく、処理室の表面にも薄膜材料が堆積せしめられる。粒子汚染を防止するため、CVD処理室を定期的にクリーニングする必要性がある。処理室は、プラズマエッチング法を使用してクリーニングされ、また、プラズマエッチング法によって処理室の壁のCVD残渣が揮発せしめられる。
半導体装置の層間絶縁膜(ILD)は、歴史的にみた場合、酸化ケイ素材料(例えば、TEОS)から作られている。これらのシリコン系の材料(例えば、SiО2)は、フッ素との反応を通じて揮発させることができる。フッ素原子は、グロー放電によって発生せしめられるものであり、ケイ素と反応してSiF4ガスを生成し、これがCVD処理室から排気される。
CVD処理室のクリーニングプロセスにおいて、常用されているフッ素原子又はラジカル(F)の提供源は、パーフルオロ化合物(PFC、例えばCF4、C2F6、NF3)ならびにフッ素ガス(F2)である。PFCは、腐食性を有しておらず、また、分解する前、周囲条件下において構成材料や大気中の気体との反応性を有していないので、取り扱いが容易である。CVD処理室のクリーニングは、通常、C2F6/О2又はNF3ベースのプラズマエッチングプロセスを使用して行われる。
層間絶縁膜は、一般的には、例えば以下に列挙するような前駆体を使用して調製される酸化ケイ素含有薄膜である:テトラエチルオルトシリケート、トリエチルメチルオルトシリケート、ジエトキシメチルシラン、メチルシラン、トリメチルシラン、ジメチルエチルシランもしくはそれらの対応シロキサン類、あるいはシラン、及びそれらのそれぞれの同族体及び類似体、テトラメトキシシラン、テトラ−n−プロポキシシラン、テトライソプロポキシシラン、テトラ−n−ブトキシシラン、テトラ−sec−ブトキシシラン、テトラ−tert−ブトキシシラン、テトラアセトキシシラン、及びテトラフェノキシシラン、ヘキサメトキシジシロキサン、ヘキサエトキシジシロキサン、ヘキサフェノキシジシロキサン、1,1,1,3,3−ペンタメトキシ−3−メチルジシロキサン、1,1,1,3,3−ペンタエトキシ−3−メチルジシロキサン、1,1,1,3,3−ペンタメトキシ−3−フェニルジシロキサン、1,1,1,3,3−ペンタエトキシ−3−フェニルジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジフェニルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジフェニルジシロキサン、1,1,3−トリメトキシ−1,3,3−トリメチルジシロキサン、1,1,3−トリエトキシ−1,3,3−トリメチルジシロキサン、1,1,3−トリメトキシ−1,3,3−トリフェニルジシロキサン、1,1,3−トリエトキシ−1,3,3−トリフェニルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジエトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラフェニルジシロキサン、及び1,3−ジエトキシ−1,1,3,3−テトラフェニルジシロキサン。これらの化合物のなかで、好ましい化合物は、ヘキサメトキシジシロキサン、ヘキサエトキシジシロキサン、ヘキサフェノキシジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジフェニルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジエトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラフェニルジシロキサン、そして1,3−ジエトキシ−1,1,3,3−テトラフェニルジシロキサンである。これらの化合物であって、式中のR7が−(CH2)n−によって表される基である化合物は、次のようなものを包含する:ビス(トリメトキシシリル)メタン、ビス(トリエトキシシリル)メタン、ビス(トリフェノキシシリル)メタン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、ビス(エトキシジフェニルシリル)メタン、1,2−ビス(トリメトキシシリル)エタン、1,2−ビス(トリエトキシシリル)エタン、1,2−ビス(トリフェノキシシリル)エタン、1,2−ビス(ジメトキシメチルシリル)エタン、1,2−ビス(ジエトキシメチルシリル)エタン、1,2−ビス(ジメトキシフェニルシリル)エタン、1,2−ビス(ジエトキシフェニルシリル)エタン、1,2−ビス(メトキシジメチルシリル)エタン、1,2−ビス(エトキシジメチルシリル)エタン、1,2−ビス(メトキシジフェニルシリル)エタン、1,2−ビス(エトキシジフェニルシリル)エタン、1,3−ビス(トリメトキシシリル)プロパン、1,3−ビス(トリエトキシシリル)プロパン、1,3−ビス(トリフェノキシシリル)プロパン、1,3−ビス(ジメトキシメチルシリル)プロパン、1,3−ビス(ジエトキシメチルシリル)プロパン、1,3−ビス(ジメトキシフェニルシリル)プロパン、1,3−ビス(ジエトキシフェニルシリル)プロパン、1,3−ビス(メトキシジメチルシリル)プロパン、1,3−ビス(エトキシジメチルシリル)プロパン、1,3−ビス(メトキシジフェニルシリル)プロパン、及び1,3−ビス(エトキシジフェニルシリル)プロパン。これらの化合物のなかで、好ましい化合物は、ビス(トリメトキシシリル)メタン、ビス(トリエトキシシリル)メタン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン及びビス(エトキシジフェニルシリル)メタン、そしてテトラアセトキシシラン、メチルトリアセトキシシラン、エチルトリアセトキシシラン、n−プロピルトリアセトキシシラン、イソプロピルトリアセトキシシラン、n−ブチルトリアセトキシシラン、sec−ブチルトリアセトキシシラン、tert−ブチルトリアセトキシシラン、イソブチルトリアセトキシシラン、n−ペンチルトリアセトキシシラン、sec−ペンチルトリアセトキシシラン、tert−ペンチルトリアセトキシシラン、イソペンチルトリアセトキシシラン、ネオペンチルトリアセトキシシラン、フェニルトリアセトキシシラン、ジメチルジアセトキシシラン、ジエチルジアセトキシシラン、ジ−n−プロピルジアセトキシシラン、ジイソプロピルジアセトキシシラン、ジ−n−ブチルジアセトキシシラン、ジ−sec−ブチルジアセトキシシラン、ジ−tert−ブチルジアセトキシシラン、ジフェニルジアセトキシシラン、トリアセトキシシラン、水素シルセスキオキサン類(HSQ、HSiО1.5)及びメチルシルセスキオキサン類(MSQ、RSiО1.5、式中のRはメチル基である)、そしてテトラメチルシクロテトラシロキサンである。
好ましくは、多孔質膜は、ジエトキシメチルシラン、ジメトキシメチルシラン、ジイソプロポキシメチルシラン、ジ−t−ブトキシメチルシラン、メチルトリエトキシシラン、メチルトリメトキシシラン、メチルトリイソプロポキシシラン、メチルトリ−t−ブトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジイソプロポキシシラン、ジメチルジ−t−ブトキシシラン、1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、テトラエトキシシラン及びその混合物からなる群から選ばれる。
また、ILD膜は、次式によって表される組成をもったОSGであることができる。
SivОwCxHyFz
上式において、v+w+x+y+zは100%であり、vは5〜35原子%であり、wは10〜65原子%であり、xは5〜70原子%であり、yは10〜70原子%であり、そしてzは0〜15原子%である。
SivОwCxHyFz
上式において、v+w+x+y+zは100%であり、vは5〜35原子%であり、wは10〜65原子%であり、xは5〜70原子%であり、yは10〜70原子%であり、そしてzは0〜15原子%である。
先進の半導体を加工する場合、シリコン酸化物の誘電率(4.0)よりも低い誘電率を有する層間絶縁膜(ILD)が必要である。ILD材料の誘電率は、空孔(多孔性)を導入することによって低下させることができる。ILDは、化学気相成長法(CVD)の間にポロゲン(例えば、テルペン類)を導入することによって多孔性となすことができる。次いで、CVD後の処理(例えば、加熱もしくはUV露光)において、堆積されたILDの薄膜からポロゲンを除去する。ポロゲンが存在していたところに空孔が導入され、材料の密度及び誘電率が低下せしめられる。ジエトキシメチルシラン(“DEMS”)を使用して堆積せしめられたカーボンドープの二酸化ケイ素(CDО)は、通常、3.0の誘電率を有している。この誘電率は、CVDの間にテルペン類を導入することによって、2.2〜2.5まで低下させることができる。ここで、「ポロゲン(porogen)」とは、それを本願明細書において使用した場合、得られる薄膜において空隙容積を発生させるために使用される化学品を意味する。広義において、ポロゲンは、
(a)環状構造及び式:CnH2n(式中、nは4〜14である)を有し、環状構造中の炭素数が4〜10であり、かつ、任意に、当該環状炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有する、少なくとも1種類の環状炭化水素、
(b)式:CnH(2n+2)-2y(式中、nは2〜20であり、yは0−nである)を有する、線状もしくは分岐の、飽和又は部分もしくは完全不飽和の少なくとも1種類の炭化水素、
(c)環状構造及び式:CnH2n-2x(式中、xは不飽和部位の数であり、nは4〜14である)を有し、環状構造中の炭素数が4〜10であり、かつ、任意に、当該環状炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有しかつ環内炭化水素の内部もしくは炭化水素置換基のいずれかの上において不飽和を含有する、少なくとも1種類の単基もしくは多基不飽和の環状炭化水素、
(d)二環式構造及び式:CnH2n-2(式中、nは4〜14である)を有し、二環式構造中の炭素数が4〜12であり、かつ、任意に、当該二環式化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有する、少なくとも1種類の二環式炭化水素、
(e)二環式構造及び式:CnH2n-(2+2x)(式中、xは不飽和部位の数であり、nは4〜14である)を有し、二環式構造中の炭素数が4〜12であり、かつ、任意に、当該二環式炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有しかつ環内炭化水素の内部もしくは炭化水素置換基のいずれかの上において不飽和を含有する、少なくとも1種類の多基不飽和の二環式炭化水素、
(f)三環式構造及び式:CnH2n-4(式中、nは4〜14である)を有し、三環式構造中の炭素数が4〜12であり、かつ、任意に、当該三環式炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有する、少なくとも1種類の三環式炭化水素、及び
その混合物
からなる群から選ばれた少なくとも1種類の化合物である。
(a)環状構造及び式:CnH2n(式中、nは4〜14である)を有し、環状構造中の炭素数が4〜10であり、かつ、任意に、当該環状炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有する、少なくとも1種類の環状炭化水素、
(b)式:CnH(2n+2)-2y(式中、nは2〜20であり、yは0−nである)を有する、線状もしくは分岐の、飽和又は部分もしくは完全不飽和の少なくとも1種類の炭化水素、
(c)環状構造及び式:CnH2n-2x(式中、xは不飽和部位の数であり、nは4〜14である)を有し、環状構造中の炭素数が4〜10であり、かつ、任意に、当該環状炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有しかつ環内炭化水素の内部もしくは炭化水素置換基のいずれかの上において不飽和を含有する、少なくとも1種類の単基もしくは多基不飽和の環状炭化水素、
(d)二環式構造及び式:CnH2n-2(式中、nは4〜14である)を有し、二環式構造中の炭素数が4〜12であり、かつ、任意に、当該二環式化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有する、少なくとも1種類の二環式炭化水素、
(e)二環式構造及び式:CnH2n-(2+2x)(式中、xは不飽和部位の数であり、nは4〜14である)を有し、二環式構造中の炭素数が4〜12であり、かつ、任意に、当該二環式炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有しかつ環内炭化水素の内部もしくは炭化水素置換基のいずれかの上において不飽和を含有する、少なくとも1種類の多基不飽和の二環式炭化水素、
(f)三環式構造及び式:CnH2n-4(式中、nは4〜14である)を有し、三環式構造中の炭素数が4〜12であり、かつ、任意に、当該三環式炭化水素が、その環状構造上において置換された複数個の単純もしくは分岐した炭化水素置換基を含有する、少なくとも1種類の三環式炭化水素、及び
その混合物
からなる群から選ばれた少なくとも1種類の化合物である。
さらに詳しく述べると、本発明の誘電性材料中で使用するのに適当なポロゲンは、不安定な有機基、溶媒、分解可能なポリマー、界面活性剤、デンドリマー、超分岐ポリマー、ポリオキシアルキレン化合物、有機巨大分子及びその組み合わせを包含する。典型的なポロゲンは、アルファ−テルピネン、リモネン、シクロヘキサン、1,2,4−トリメチルシクロヘキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換されたCxジエン(式中、xは2〜18である)、デカヒドロナフテレンなど及びその混合物を包含する。
さらに加えて、多孔質の誘電性薄膜は、シリカ前駆体に対して官能基を介して結合したポロゲンを含有する分子を使用して製造することができる。かかる分子は、例えば、ポロゲン化された1,3,5,7−テトラメチルシクロテトラシロキサン類、例えば、ジネオヘキシルジエトキシシラン、1,4−ビス(ジエトキシシリル)シクロヘキサン、トリメチルシリルエチル−1,3,5,7−テトラメチルシクロテトラシロキサン、1−ネオヘキシル−1,3,5,7−テトラメチルシクロテトラシロキサン、1−ネオペンチル−1,3,5,7−テトラメチルシクロテトラシロキサン、ネオペンチルジエトキシシラン、ネオヘキシルジエトキシシラン、ネオヘキシルトリエトキシシラン、ネオペンチルトリエトキシシラン及びネオペンチル−ジ−t−ブトキシシシランである。
しかしながら、CVDプロセスにおいて使用された大きな分子及びオリゴマー、典型的には不飽和のポロゲン、例えばアルファ−テルピネン(“ATRP”)及びATRPオリゴマーをCVD処理室の壁面から除去することは、引き続いて行う処理室クリーニングプロセスにおいて問題のある処理である。CVD処理室をクリーニングするために一般的に使用されているプロセス(例えば、C2F6/О2又はNF3プラズマ)は、DEMS−ATRPタイプの多孔質誘電体の堆積処方には有効でないということがわかった。ここで、まず試みた方法は、CVD処理室壁上のATRP残渣をО2プラズマを使用して揮発させる工程(ステップ1)と、それに引き続くところの、NF3/C2F6エッチングプロセスに酸素(例えば、О2又はN2О)を添加する工程(ステップ2)であった。これらのC2F6ベースあるいはNF3ベースの処理室クリーニングの例は、下記の第I表において例1及び例2としてまとめられている。酸素添加の目的は、CVD処理室壁上のポロゲン残渣を揮発性の炭素酸化物(例えば、CО2及びCО)の如く酸化することにあった。ケイ素酸化物は、フッ素プラズマの働きによってSiF4として揮発せしめられる。
例1:C2F6を使用した従来方法による処理室クリーニング
2.0μmでDEMS−ATRPを堆積させた後、上記した条件下でC2F6クリーニングを実施した。2.0μmDEMS−ATRP堆積に続けたC2F6クリーニング中のQMSプロファイル(図1)から明らかなように、このQMSプロファイルは、О2プラズマがATRPをCО2として揮発させ、一方DEMSをSiF4として揮発させることを示している。О2プラズマの間、CО2分圧のシャープな増加が存在している(ステップ1、図1では3500〜4000秒として示される)。CО2分圧は、約300秒後に基線レベルまで復帰する。引き続くC2F6/О2プラズマ(ステップ2、図1では3500〜4000秒として示される)の間、SiF4分圧は、約300秒後に基線レベルまで復帰する。付言すると、SiF4の減少を伴ってF2圧力が増加するけれども、この増加は、良好な終点モニターとなることができる。О2プラズマ及びC2F6/О2プラズマの目的は、それぞれ、ATRP及びDEMSを除去することにあったので、QMSプロファイルは、クリーニングが完了したこと(すなわち、クリーニング時間は十分であること)を示している。下記の第II表の4欄に示されるように、処理室の壁とポンピング路の上にかなりの量の褐色残渣が残留した。
2.0μmでDEMS−ATRPを堆積させた後、上記した条件下でC2F6クリーニングを実施した。2.0μmDEMS−ATRP堆積に続けたC2F6クリーニング中のQMSプロファイル(図1)から明らかなように、このQMSプロファイルは、О2プラズマがATRPをCО2として揮発させ、一方DEMSをSiF4として揮発させることを示している。О2プラズマの間、CО2分圧のシャープな増加が存在している(ステップ1、図1では3500〜4000秒として示される)。CО2分圧は、約300秒後に基線レベルまで復帰する。引き続くC2F6/О2プラズマ(ステップ2、図1では3500〜4000秒として示される)の間、SiF4分圧は、約300秒後に基線レベルまで復帰する。付言すると、SiF4の減少を伴ってF2圧力が増加するけれども、この増加は、良好な終点モニターとなることができる。О2プラズマ及びC2F6/О2プラズマの目的は、それぞれ、ATRP及びDEMSを除去することにあったので、QMSプロファイルは、クリーニングが完了したこと(すなわち、クリーニング時間は十分であること)を示している。下記の第II表の4欄に示されるように、処理室の壁とポンピング路の上にかなりの量の褐色残渣が残留した。
例2:3層の堆積と、それに続く従来方法によるC2F6クリーニング
3層の2.0μmDEMS−ATRP堆積(すなわち、累積厚さが6.0μmの堆積)と、そのそれぞれに引き続いた上記第I表に記載の条件でのC2F6クリーニングの後、PECVD処理室を目視により観察した。下記の第II表の4欄に示されるように、処理室の壁とポンピング路の上にかなりの量の褐色残渣が残留した。この処理室クリーニングを検証する前にPECVD処理室について湿式クリーニングを実施しているので、PECVD処理室の状態が注目され、3枚のウエハしか処理できなかったものと考察される。クリーニングが完了したことはQMSのモニタリングを通じてわかるけれども、QMSについての従来の解釈に基づいた場合、従来のC2F6クリーニングではCVD処理室からすべての残渣を効果的に除去することができない、と結論することができる。同様な結果は、NF3クリーニングの場合(上記の第I表に記載した条件で)にも認められた。
3層の2.0μmDEMS−ATRP堆積(すなわち、累積厚さが6.0μmの堆積)と、そのそれぞれに引き続いた上記第I表に記載の条件でのC2F6クリーニングの後、PECVD処理室を目視により観察した。下記の第II表の4欄に示されるように、処理室の壁とポンピング路の上にかなりの量の褐色残渣が残留した。この処理室クリーニングを検証する前にPECVD処理室について湿式クリーニングを実施しているので、PECVD処理室の状態が注目され、3枚のウエハしか処理できなかったものと考察される。クリーニングが完了したことはQMSのモニタリングを通じてわかるけれども、QMSについての従来の解釈に基づいた場合、従来のC2F6クリーニングではCVD処理室からすべての残渣を効果的に除去することができない、と結論することができる。同様な結果は、NF3クリーニングの場合(上記の第I表に記載した条件で)にも認められた。
本発明では、CVD反応室から多孔質誘電性薄膜、すなわち、DEMS−ATRP残渣を除去するため、還元の化学が使用される。何らかの特定の理論によって束縛されることを本発明者らは希望するものではないけれども、考察によれば、本発明は、還元性の、すなわちプロトン供与体を使用した処理工程を使用するものであり、多孔質誘電体堆積後にCVD処理室壁上に残留した残留ポロゲンを還元しかつ破壊させて、後続のフッ素ベースのクリーニングプラズマ中で重合することのない飽和の炭化水素を生成する。プロトン供与体含有雰囲気のプロトン供与体、すなわち、水素供給源は、H2、CH4、C2H6、CxHy、NH3又はH2Оであることができ、式中、xは1〜5でありかつyは4〜12であり、好ましくは完全飽和の炭化水素プロトン供与体である。フッ素供与体含有雰囲気のフッ素供給源は、F2、NF3、CF4、C2F6、C3F8、C4F8、C4F8О、CHF3、CОF2、ビスフルオロオキシジフルオロメタン、Cxハイドロフルオロカーボン、パーフルオロカーボン及び酸化フルオロカーボン(式中、xは1〜6である)又はその他のハイドロフルオロカーボンであることができる。フッ素供与体含有雰囲気は、酸素、オゾン、水、一酸化窒素、亜酸化窒素、二酸化窒素、二酸化珪素及びその混合物からなる群から選ばれた酸素供給源を包含することができる。圧力は、0.1〜100トル(Torr)とすることができる。ステップ1及びステップ2の前、それらの工程の間及びそれらの工程の後の排気工程は、600トル未満とすることができる。プラズマ状態は、500〜10,000ワットのRFによって発生させることができる。プラズマは、クリーニングされるべき反応室の上流側及び室外においてフッ素源を分解させる遠隔プラズマであってもよく、さもなければ、クリーニングされるべき反応室内における現場発生プラズマであってもよい。プラズマを発生させるため、RF以外のその他のエネルギー源を考慮することもできる。
例3:プロトン供与体/フッ素供与体によるクリーニング
CVD処理室をクリーニングするために使用された本発明の一例を上記第I表(例3)に示した。本例のポロゲンクリーニングは、上記第I表に示した条件下においてH2及びNF3を使用した2段階プロセスである。このポロゲンクリーニングの間のQMSプロファイルは、図2に示してある。H2プラズマの間(ステップ1、図2では800〜1200秒として示される、炭化水素(HC、15amu)に原因したイオンが観察されるが、これは、ATRPが反応して炭化水素のエッチング生成物を形成していることを示している(註:N2の干渉があるため、15amuにはバックグラウンド信号が存在する)。H2プラズマによってATRPの圧力が一桁の大きさで低下せしめられた(すなわち、図2に示したように、ATRPのガス抜きはより少量であった)。引き続いたNF3/О2プラズマ(ステップ2)の間、SiF4及びCО2の両方のエッチング生成物が観察された。これらの副生成物の圧力は、F2の発現とともに基線まで復帰し、クリーニングの完結を示した。下記の第II表の4欄に報告されているように、処理室の壁に褐色の残渣は存在しなかった。
CVD処理室をクリーニングするために使用された本発明の一例を上記第I表(例3)に示した。本例のポロゲンクリーニングは、上記第I表に示した条件下においてH2及びNF3を使用した2段階プロセスである。このポロゲンクリーニングの間のQMSプロファイルは、図2に示してある。H2プラズマの間(ステップ1、図2では800〜1200秒として示される、炭化水素(HC、15amu)に原因したイオンが観察されるが、これは、ATRPが反応して炭化水素のエッチング生成物を形成していることを示している(註:N2の干渉があるため、15amuにはバックグラウンド信号が存在する)。H2プラズマによってATRPの圧力が一桁の大きさで低下せしめられた(すなわち、図2に示したように、ATRPのガス抜きはより少量であった)。引き続いたNF3/О2プラズマ(ステップ2)の間、SiF4及びCО2の両方のエッチング生成物が観察された。これらの副生成物の圧力は、F2の発現とともに基線まで復帰し、クリーニングの完結を示した。下記の第II表の4欄に報告されているように、処理室の壁に褐色の残渣は存在しなかった。
例4:3層の堆積と、それに続くプロトン供与体/フッ素供与体によるクリーニング
3層の2.0μmDEMS−ATRP堆積(すなわち、累積厚さが6.0μmの堆積)と、そのそれぞれに引き続いた本発明のポロゲンクリーニング(上記第I表を参照)の後、PECVD処理室を目視により観察した。下記の第II表の4欄に報告されているように、処理室の壁に褐色の残渣は存在しなかった。
3層の2.0μmDEMS−ATRP堆積(すなわち、累積厚さが6.0μmの堆積)と、そのそれぞれに引き続いた本発明のポロゲンクリーニング(上記第I表を参照)の後、PECVD処理室を目視により観察した。下記の第II表の4欄に報告されているように、処理室の壁に褐色の残渣は存在しなかった。
実行可能性についての実験から、還元性の化学、すなわち、プロトン供与体を包含させた場合(例えば、H2プラズマ工程)、多孔質のILD堆積、例えばポロゲン含有DEMS−ATRP堆積、すなわち、上記したような炭素含有残渣の形成を行った後で、PECVD処理室をより効果的にクリーニングできるということが立証される。プロトン供与体工程の還元性化学、例えばH2プラズマは、ポロゲン、典型的には、別法によればフッ素生成重合にさらされる大きな不飽和分子を還元及び破壊し、引き続くフッ素プラズマ中で重合せしめられることのない不飽和の炭化水素を生成する。酸化ケイ素源、例えばDEMSは、NF3/О2プラズマプロセス(ステップ2)においてSiF4としてエッチングされる。
従来のフッ素供与体クリーニングは、誘電体を堆積した処理室の表面からポロゲンを除去することに適当でないということが本発明によって確認された。歴史的にみた場合、フッ素供与体クリーニングは、ポロゲンが含まれていない場合、誘電体の前駆体や反応生成物を除去するのに適当であるとみなされてきた。最近では、必要とされる低誘電率を達成するために誘電性薄膜堆積中のポロゲンを考慮する傾向にあり、必要により、ポロゲンならびにポロゲン反応生成物及び副反応生成物に対する解決法が本発明において確認された。特に適当なことは、不飽和部位でポロゲンを重合させ、かつポロゲンを過フッ素化してクリーニング用の試薬とのさらなる反応に対して相対的に不活性とする潜在可能性をもった、フッ素含有クリーニングガスに対する暴露を行うに先がけて、処理室壁上の大きくかつ不飽和のポロゲンが還元もしくは破壊されるということである。水素処理は、半導体や処理室の処理において使用されているけれども、いずれも大きな分子及び(又は)不飽和のポロゲンタイプの分子の存在において行われていない。したがって、従来の技術においては、このような分子が不用意に堆積したものをクリーニングするために反応室表面をプロトン供与体処理することの効果はまったく経験されておらず、問題としても認識されておらず、さらには、プロトン供与体又は水素還元性雰囲気によってそのようなポロゲン分子の不用意な堆積を解決できることも従来の技術によって認識されていない。
以上、本発明をいくつかの実施例に関して説明した。しかし、本発明の範囲そのものは、特許請求の範囲の記載された事項から認められるべきである。
Claims (21)
- 半導体材料処理室においてポロゲンを含有する多孔質膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
前記装置表面をプロトン供与体含有雰囲気と接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
前記装置表面をフッ素供与体含有雰囲気と接触させてその装置表面に堆積せしめられた薄膜と反応させること、
を含んでなる、装置表面のクリーニング方法。 - 前記プロトン供与体含有雰囲気との接触に引き続いて、前記フッ素供与体含有雰囲気との接触を行う、請求項1に記載の方法。
- 前記フッ素供与体含有雰囲気との接触に引き続いて、前記プロトン供与体含有雰囲気との接触を行う、請求項1に記載の方法。
- 前記フッ素供与体含有雰囲気との接触を前記プロトン供与体含有雰囲気との接触と同時に行う、請求項1に記載の方法。
- 前記プロトン供与体含有雰囲気が、水素、メタン、エタン、アンモニア、水、CxHy(式中、xは1〜5であり、かつyは4〜12である)及びその混合物からなる群から選ばれた一員を含む、請求項1〜4のいずれか1項に記載の方法。
- 前記プロトン供与体含有雰囲気が還元性雰囲気を含む、請求項1〜5のいずれか1項に記載の方法。
- 前記フッ素供与体含有雰囲気が、F2、NF3、CF4、C2F6、C3F8、C4F8、C4F8О、CHF3、CОF2、ビスフルオロオキシジフルオロメタン又はその他のCxハイドロフルオロカーボン及びパーフルオロカーボン及び酸化フルオロカーボン(式中、xは1〜6である)及びその混合物からなる群から選ばれたフッ素化合物を含む、請求項1〜6のいずれか1項に記載の方法。
- 前記ポロゲンが、
(a)環状構造及び式:CnH2n(式中、nは4〜14である)を有し、環状構造中の炭素数が4〜10である少なくとも1種類の環状炭化水素、
(b)式:CnH(2n+2)-2y(式中、nは2〜20であり、yは0−nである)を有する、線状もしくは分岐の、飽和又は部分もしくは完全不飽和の少なくとも1種類の炭化水素、
(c)環状構造及び式:CnH2n-2x(式中、xは不飽和部位の数であり、nは4〜14である)を有し、環状構造中の炭素数が4〜10である少なくとも1種類の単基もしくは多基不飽和の環状炭化水素、
(d)二環式構造及び式:CnH2n-2(式中、nは4〜14である)を有し、二環式構造中の炭素数が4〜12である少なくとも1種類の二環式炭化水素、
(e)二環式構造及び式:CnH2n-(2+2x)(式中、xは不飽和部位の数であり、nは4〜14である)を有し、二環式構造中の炭素数が4〜12である少なくとも1種類の多基不飽和の二環式炭化水素、
(f)三環式構造及び式:CnH2n-4(式中、nは4〜14である)を有し、三環式構造中の炭素数が4〜12である少なくとも1種類の三環式炭化水素、及び
その混合物
からなる群から選ばれた少なくとも1種類の化合物である、請求項1〜7のいずれか1項に記載の方法。 - 前記ポロゲンが、アルファ−テルピネン、リモネン、シクロヘキサン、1,2,4−トリメチルシクロヘキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換されたCxジエン(式中、xは2〜18である)、デカヒドロナフテレン及びその混合物からなる群から選ばれた化合物を含む、請求項1〜8のいずれか1項に記載の方法。
- 前記フッ素供与体含有雰囲気が酸素源を含む、請求項1〜9のいずれか1項に記載の方法。
- 前記フッ素供与体含有雰囲気が、酸素、オゾン、水、一酸化窒素、亜酸化窒素、二酸化窒素、二酸化珪素及びその混合物からなる群から選ばれた酸素源を含む、請求項1〜10のいずれか1項に記載の方法。
- 前記薄膜の前駆体と前記ポロゲンが同一の分子中に含まれる、請求項1〜11のいずれか1項に記載の方法。
- 前記多孔質膜が、ジエトキシメチルシラン、ジメトキシメチルシラン、ジイソプロポキシメチルシラン、ジ−t−ブトキシメチルシラン、メチルトリエトキシシラン、メチルトリメトキシシラン、メチルトリイソプロポキシシラン、メチルトリ−t−ブトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジイソプロポキシシラン、ジメチルジ−t−ブトキシシラン、1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、テトラエトキシシラン及びその混合物からなる群から選ばれる、請求項1〜12のいずれか1項に記載の方法。
- 前記多孔質膜が、次式によって表される組成をもった有機珪素ガラス:
SivОwCxHyFz
(式中、v+w+x+y+zは100%であり、vは5〜35原子%であり、wは10〜65原子%であり、xは5〜70原子%であり、yは10〜70原子%であり、そしてzは0〜15原子%である)を含む、請求項1〜13のいずれか1項に記載の方法。 - 半導体材料のCVD処理室においてポロゲンを含有する多孔質誘電性薄膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
前記装置表面に接触する帯域を排気すること、
前記帯域をプラズマ条件下に維持すること、
前記装置表面にプロトン供与体含有雰囲気を接触させてその装置表面に堆積せしめられたポロゲンと反応させること、
前記装置表面に接触する帯域を排気すること、
前記装置表面をフッ素供与体及び酸素源を含有する雰囲気と接触させてその装置表面に堆積せしめられた誘電性薄膜と反応させ、前記装置表面をクリーニングすること、
を含んでなる、装置表面のクリーニング方法。 - 前記プロトン供与体含有雰囲気が不活性ガスを含む、請求項15に記載の方法。
- 前記フッ素供与体含有雰囲気が不活性ガスを含む、請求項15又は16に記載の方法。
- 500〜5000ワットの高周波によってプラズマを発生させる、請求項15〜17のいずれか1項に記載の方法。
- 600トル以下の圧力まで排気を実施する、請求項15〜18のいずれか1項に記載の方法。
- 半導体材料のCVD処理室においてアルファ−テルピネンポロゲンを含有するジエトキシメチルシランから多孔質誘電性薄膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
(a)前記装置表面に接触する帯域を600トル以下の圧力まで排気すること、
(b)前記帯域を1000〜2000ワットの高周波によって発生せしめられたプラズマ条件下に維持すること、
(c)前記装置表面に水素を接触させてその装置表面に堆積せしめられたアルファ−テルピネンポロゲンと反応させること、
(d)前記装置表面に接触する帯域を600トル以下の圧力まで排気すること、及び
(e)前記装置表面をNF3及びО2と接触させてその装置表面に堆積せしめられた誘電性薄膜と反応させ、前記装置表面をクリーニングすること、
を含んでなる、装置表面のクリーニング方法。 - 半導体材料のCVD処理室においてアルファ−テルピネンポロゲンを含有するジエトキシメチルシランから多孔質誘電性薄膜を堆積させた後にその処理室において装置表面をクリーニングする方法であって、
(a)前記装置表面に接触する帯域を600トル以下の圧力まで排気すること、
(b)前記帯域を1000〜2000ワットの高周波によって発生せしめられたプラズマ条件下に維持すること、
(c)前記装置表面に水素を接触させてその装置表面に堆積せしめられたアルファ−テルピネンポロゲンと反応させること、
(d)前記装置表面に接触する帯域を600トル以下の圧力まで排気すること、及び
(e)前記装置表面をC2F6及びО2と接触させてその装置表面に堆積せしめられた誘電性薄膜と反応させ、前記装置表面をクリーニングすること、
を含んでなる、装置表面のクリーニング方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US53883204P | 2004-01-23 | 2004-01-23 | |
US11/019,709 US20050161060A1 (en) | 2004-01-23 | 2004-12-22 | Cleaning CVD chambers following deposition of porogen-containing materials |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005015810A Division JP2005210130A (ja) | 2004-01-23 | 2005-01-24 | 半導体材料処理室における装置表面のクリーニング方法 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2008263230A true JP2008263230A (ja) | 2008-10-30 |
Family
ID=34681025
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005015810A Withdrawn JP2005210130A (ja) | 2004-01-23 | 2005-01-24 | 半導体材料処理室における装置表面のクリーニング方法 |
JP2008179411A Withdrawn JP2008263230A (ja) | 2004-01-23 | 2008-07-09 | 半導体材料処理室における装置表面のクリーニング方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005015810A Withdrawn JP2005210130A (ja) | 2004-01-23 | 2005-01-24 | 半導体材料処理室における装置表面のクリーニング方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US20050161060A1 (ja) |
EP (1) | EP1561841A2 (ja) |
JP (2) | JP2005210130A (ja) |
KR (1) | KR100725078B1 (ja) |
CN (1) | CN1651159A (ja) |
SG (1) | SG113617A1 (ja) |
TW (1) | TWI248126B (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2015529395A (ja) * | 2012-08-23 | 2015-10-05 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | Uvチャンバを洗浄するための方法及びハードウェア |
Families Citing this family (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7060330B2 (en) | 2002-05-08 | 2006-06-13 | Applied Materials, Inc. | Method for forming ultra low k films using electron beam |
US6936551B2 (en) * | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US7056560B2 (en) * | 2002-05-08 | 2006-06-06 | Applies Materials Inc. | Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) |
US7288292B2 (en) * | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US20050227502A1 (en) * | 2004-04-12 | 2005-10-13 | Applied Materials, Inc. | Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity |
US7727401B2 (en) * | 2004-11-09 | 2010-06-01 | Air Products And Chemicals, Inc. | Selective purification of mono-terpenes for removal of oxygen containing species |
US7501354B2 (en) * | 2005-01-18 | 2009-03-10 | Applied Materials, Inc. | Formation of low K material utilizing process having readily cleaned by-products |
US7479191B1 (en) * | 2005-04-22 | 2009-01-20 | Novellus Systems, Inc. | Method for endpointing CVD chamber cleans following ultra low-k film treatments |
US20070134435A1 (en) * | 2005-12-13 | 2007-06-14 | Ahn Sang H | Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films |
US7989033B2 (en) * | 2007-07-12 | 2011-08-02 | Applied Materials, Inc. | Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition |
US7998536B2 (en) * | 2007-07-12 | 2011-08-16 | Applied Materials, Inc. | Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition |
US8262800B1 (en) | 2008-02-12 | 2012-09-11 | Novellus Systems, Inc. | Methods and apparatus for cleaning deposition reactors |
CN102077316A (zh) * | 2008-06-27 | 2011-05-25 | 应用材料股份有限公司 | 用于高产量及稳定逐基材表现的快速周期和广泛的后期紫外臭氧清洗程序的添加 |
US20100018548A1 (en) | 2008-07-23 | 2010-01-28 | Applied Materials, Inc. | Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance |
US8591659B1 (en) | 2009-01-16 | 2013-11-26 | Novellus Systems, Inc. | Plasma clean method for deposition chamber |
US20110059617A1 (en) * | 2009-09-10 | 2011-03-10 | Matheson Tri-Gas, Inc. | High aspect ratio silicon oxide etch |
US8753986B2 (en) | 2009-12-23 | 2014-06-17 | Air Products And Chemicals, Inc. | Low k precursors providing superior integration attributes |
JP6459489B2 (ja) * | 2014-03-11 | 2019-01-30 | 三菱マテリアル株式会社 | シリカ多孔質膜形成用液組成物及びその液組成物から形成されたシリカ多孔質膜 |
CN103956331B (zh) * | 2014-04-29 | 2016-09-28 | 复旦大学 | 一种用于多孔互连介质表面封孔的薄膜及其制备方法 |
CN104008997A (zh) * | 2014-06-04 | 2014-08-27 | 复旦大学 | 一种超低介电常数绝缘薄膜及其制备方法 |
CN105239056B (zh) * | 2015-10-27 | 2018-01-26 | 上海集成电路研发中心有限公司 | 一种原子层沉积设备以及方法 |
US10161034B2 (en) | 2017-04-21 | 2018-12-25 | Lam Research Corporation | Rapid chamber clean using concurrent in-situ and remote plasma sources |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07100865B2 (ja) * | 1986-03-13 | 1995-11-01 | 富士通株式会社 | 減圧cvd処理装置のクリーニング法 |
JPH03130368A (ja) * | 1989-09-22 | 1991-06-04 | Applied Materials Inc | 半導体ウェーハプロセス装置の洗浄方法 |
DE4009391C2 (de) * | 1990-03-23 | 1994-03-31 | Binzel Alexander Gmbh Co Kg | Antriebseinrichtung für endlose, abschmelzende Drahtelektroden von elektrischen Schweißbrennern |
DE4034842A1 (de) * | 1990-11-02 | 1992-05-07 | Thyssen Edelstahlwerke Ag | Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung |
JP3253675B2 (ja) * | 1991-07-04 | 2002-02-04 | 株式会社東芝 | 荷電ビーム照射装置及び方法 |
US5326723A (en) * | 1992-09-09 | 1994-07-05 | Intel Corporation | Method for improving stability of tungsten chemical vapor deposition |
JP2737720B2 (ja) * | 1995-10-12 | 1998-04-08 | 日本電気株式会社 | 薄膜形成方法及び装置 |
US6225434B1 (en) * | 1997-08-01 | 2001-05-01 | Ppg Industries Ohio, Inc. | Film-forming compositions having improved scratch resistance |
US6107192A (en) * | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
JP3112880B2 (ja) * | 1998-02-06 | 2000-11-27 | 鹿児島日本電気株式会社 | Cvd装置のクリーニング方法 |
KR20010104260A (ko) * | 2000-05-12 | 2001-11-24 | 조셉 제이. 스위니 | 화학 증착챔버 내부의 오염물을 제거하기 위한 가스 반응 |
US6583048B2 (en) | 2001-01-17 | 2003-06-24 | Air Products And Chemicals, Inc. | Organosilicon precursors for interlayer dielectric films with low dielectric constants |
US6843858B2 (en) * | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
US6846515B2 (en) * | 2002-04-17 | 2005-01-25 | Air Products And Chemicals, Inc. | Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants |
US7384471B2 (en) * | 2002-04-17 | 2008-06-10 | Air Products And Chemicals, Inc. | Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants |
US6936551B2 (en) * | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US7208389B1 (en) * | 2003-03-31 | 2007-04-24 | Novellus Systems, Inc. | Method of porogen removal from porous low-k films using UV radiation |
WO2004095555A1 (ja) * | 2003-04-22 | 2004-11-04 | Tokyo Electron Limited | 熱処理装置のクリーニング方法 |
-
2004
- 2004-12-22 US US11/019,709 patent/US20050161060A1/en not_active Abandoned
-
2005
- 2005-01-18 TW TW094101467A patent/TWI248126B/zh not_active IP Right Cessation
- 2005-01-18 EP EP05000894A patent/EP1561841A2/en not_active Withdrawn
- 2005-01-20 SG SG200500873A patent/SG113617A1/en unknown
- 2005-01-21 CN CNA200510050914XA patent/CN1651159A/zh active Pending
- 2005-01-22 KR KR1020050006038A patent/KR100725078B1/ko not_active IP Right Cessation
- 2005-01-24 JP JP2005015810A patent/JP2005210130A/ja not_active Withdrawn
-
2008
- 2008-07-09 JP JP2008179411A patent/JP2008263230A/ja not_active Withdrawn
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2015529395A (ja) * | 2012-08-23 | 2015-10-05 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | Uvチャンバを洗浄するための方法及びハードウェア |
Also Published As
Publication number | Publication date |
---|---|
JP2005210130A (ja) | 2005-08-04 |
TW200527531A (en) | 2005-08-16 |
KR20050076773A (ko) | 2005-07-27 |
SG113617A1 (en) | 2005-08-29 |
CN1651159A (zh) | 2005-08-10 |
US20050161060A1 (en) | 2005-07-28 |
TWI248126B (en) | 2006-01-21 |
EP1561841A2 (en) | 2005-08-10 |
KR100725078B1 (ko) | 2007-06-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2008263230A (ja) | 半導体材料処理室における装置表面のクリーニング方法 | |
KR102625367B1 (ko) | 반도체 구조를 에칭하기 위한 질소-함유 화합물 | |
US7581549B2 (en) | Method for removing carbon-containing residues from a substrate | |
TWI756330B (zh) | 用於蝕刻半導體結構之含碘化合物 | |
TWI425593B (zh) | 整合氣隙之方法 | |
KR102153246B1 (ko) | 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스 | |
KR102337603B1 (ko) | 조밀한 osg 필름용 실릴 가교된 알킬 화합물의 용도 | |
JP6527677B2 (ja) | パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク | |
US8236684B2 (en) | Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer | |
JP2011517848A (ja) | 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法 | |
US7479191B1 (en) | Method for endpointing CVD chamber cleans following ultra low-k film treatments | |
TW201300567A (zh) | 藉由紫外線輔助之光化學沉積而介電回復電漿損壞之低介電常數薄膜 | |
JP2017050413A (ja) | プラズマエッチング方法 | |
TWI762761B (zh) | 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑 | |
JP4986661B2 (ja) | 絶縁膜の形成方法およびこれを用いた半導体装置 | |
JP4986660B2 (ja) | 絶縁膜の形成方法およびこれを用いた半導体装置 | |
JP2012190831A (ja) | 半導体装置の製造方法および半導体装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080804 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080804 |
|
A761 | Written withdrawal of application |
Free format text: JAPANESE INTERMEDIATE CODE: A761 Effective date: 20090302 |