TWI248126B - Cleaning CVD chambers following deposition of porogen-containing materials - Google Patents

Cleaning CVD chambers following deposition of porogen-containing materials Download PDF

Info

Publication number
TWI248126B
TWI248126B TW094101467A TW94101467A TWI248126B TW I248126 B TWI248126 B TW I248126B TW 094101467 A TW094101467 A TW 094101467A TW 94101467 A TW94101467 A TW 94101467A TW I248126 B TWI248126 B TW I248126B
Authority
TW
Taiwan
Prior art keywords
gas
donor
decane
cleaning
hydrocarbon
Prior art date
Application number
TW094101467A
Other languages
English (en)
Other versions
TW200527531A (en
Inventor
Andrew David Johnson
Seksan Dheandhanoo
Mark Daniel Bitner
Raymond Nicholas Vrtis
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW200527531A publication Critical patent/TW200527531A/zh
Application granted granted Critical
Publication of TWI248126B publication Critical patent/TWI248126B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G3/00Apparatus for cleaning or pickling metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/4697Generating plasma using glow discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

1248126 九、發明說明: ‘本申請是2004年1月23曰申請的美國臨時申請SN. 60/5 3 8,832的部分繼續申請。 發明所屬之技術領域 化學汽相沈積(“CVD” )艙需要進行清潔以防止造 成粒子污染。典型的清潔是使用電漿蝕刻處理(例如 C2F0/〇2 )使CVD殘留物(例如四乙基原矽酸酯,TE〇s ) • 揮I仁疋目刚的搶清潔工藝無法清除多孔介電材料CVD 後產生的殘留物。 儘管CVD沈積工藝可如期地在基材(典型的為矽晶圓) 上形成薄膜,但是形成這些膜的反應也會在製程艙内曝露 的表面上生成非生產需要的物質,從而導致大量的殘留物 出現在艙壁、喷頭和製程艙下游的前級管道上。這些殘留 物典型的含有碳,也就是含碳殘留物。出現在含碳殘留物 肇中的其他的種類還包括例如源於混合物前驅物的石夕和/或 用於清潔的基於氟化氣的電漿輻射出的氟和/或含氟前驅 物。艙内含碳殘留物的蓄積會導致粒子的脫落、沈積均勻 性的降低和影響後續沈積的工藝波動。這些結果都會導致 沈積結構的缺陷和設備的失效。戶斤以,#製程舱的定期清 潔即艟清潔是必要的。為了確保隨後沈積的複合有機石夕酸 鹽膜的元整性(均-性、成分的純度、可再現性),這些殘 留物必須被清除。在有些情況下這些含碳殘留物會以低聚 物和高聚物的形式出現,從而造成殘留物的清除更加困難。 5 1248126 先前技術 文章 “Reducing PFC Emissions from CVD Chamber
Cleaning (降低C VD艙清潔中洩漏的PFC ) ”《固體技術》 ρ·1 03 ( 2000年12月)中,CVD艙典型的使用氟碳化合物 電漿(例如C^F6 )進行清潔。C2F6/〇2電漿是半導體工業中 清潔CVD艙常用的一種方法。當氟的排出量不足時,添加 氧(〇2或N2〇 )對於防止高聚物的生成是必要的。氧在這 些處理中的作用是將氟碳化合物(例如Cd6 )中的碳原子 轉化為C02。
在118 5,413,670 和1;86,067,999 中,(^〇艙壁上的殘 留物SiNx和Si〇2通過使用NF3與其他稀釋劑(例如He, Ar·,NO生成的電漿而被清除。NF3電漿生成的氟原子與 CVD殘留物反應生成揮發性副產物。具有高蝕刻速率的處 理方法已經被公開(NF3濃度,壓力,射頻(“RF” )能 量,流量)。 US 6,569,25 7B1中給出了一種沈積碳化矽(Sic)和有 機二氧化矽玻璃(OSG)後清潔製程艙的方法。Sic和〇sg 材料通過氫和氟的電漿(例如&和NF3 )的組合而被清除。 US 6,569,257中明確的指示了 Sic和〇SG殘留物的清除。 在US 6,569,257中氫電漿的作用是斷裂Sic和〇SG結構 中存在的矽一碳鍵。使用NF3/〇2電漿可以成功的除去sic 和OSG材料。加入的氫電漿簡單的增加了蝕刻速率。 训⑶⑺^中公開了一種化學氣相沈積^乂⑴鶴 6 1248126 ‘後清潔製程艙的方法。CVD艙在使用NF3電漿後又通過使 • 用H2電漿進行清潔。US 5,326,723A中明確的指示了清除 鶴殘留物。通過NF3電漿鎢以WF6的形式揮發。隨後使用 Hz電漿的目的在於清除nf3基艙清潔中產生的副產物氟。 US 5,129,958描述了使用h2電漿清除之前的艙清潔中 產生的副產物氟。在常規的CVD艙清潔處理(例如NF3電 漿)之後’殘留量的氟留在CVD艙内。US5,326,958提供 了 一種通過與還原氣體(例如H2 )反應清除這些氟殘留物 •的方法。隨後使用&電漿的目的在於清除Nf3基艙清潔中 產生的副產物氟。 US 6,534,423教導我們H2電漿可用於清除之前進行的 艙清潔中產生的副產物氟。在常規的CVD搶清潔處理(例 如NF;電漿)之後殘留物氟將充滿cvd艙壁,限制cVD 膜的粘覆。US 6,534,423提供了 一種通過與還原氣體(例 如& )反應清除這些氟殘留物的方法。隨後使用電漿 φ 的目的在於清除NF3基艙清潔中產生的副產物氟。 US 6,62 6,188B2中公開了氫電漿可用於調整cvd搶的 圓頂(dome )。該發明的目的在於鈍化c VD艙的表面。c VD 艘的構造材料中包括Al2〇3。在任何的氟基(例如)艘 π潔中艘壁都可能被氟化。U S6,534,188給出了如何使用 氫電漿除去所生成的A1F3。 US 2003/0183244A1中描述了一種可替代傳統濕法清 潔的方法,其中,該方法在CVD艙已處理了大量工件(例 如5000片)後進行。沒有CVD殘留物從艙中清除。沈積 7 1248126 後’ CVD艙仍使用公認的方法(例如νι?3電漿)進行定期 的清潔(例如1·5片之後)。但是,在多次沈積和清潔週期 (例如5000次)之後,CVD艙必須被拆開並用含水的酸和 溶劑(例如HF和異丙醇“IPA”)對各部分進行清潔。仍 2003/0183 244提出了 一種替代這種濕法清潔的幹蝕刻處 理。 US 5,13 5,775公開了在物理氣相沈積(“ PVD” )或 電聚增強的CVD( “PECVD” )沈積薄膜前使用I電漿 清潔基材。US5,135’775涉及製備基材(例如石夕晶圓),而 並非是清潔製程艙中的CVD殘留物。 WO 2002/007203教導我們在電漿蝕刻、也就是反應性 離子蝕刻(“RIE” )的過程中,高分子殘留物會在矽基材 —上沈積。WO 2002/007203用HVN2或m^/N2的混合物促進 這些蝕刻殘留物的清除。該發明涉及在電漿蝕刻之後進行 的晶圓的清潔’而並非是在CVD後進行艙清潔處理。 馨 JP 2001/102311描述了在形成栅絕緣體層前,含有氯 (例如H2 )和鹵素(例如F2 )氣體的電漿被用於清潔多曰曰 石夕晶圓。大概組分Η:和F2所產生的HF可以清除任何原有 的氧化物。JP 2001/1023 1 1中敍述了晶圓的清潔,而並非 是使用電漿蝕刻處理清潔CVD艙。 在現有技術中沒有提出在多孔電介質沈積後、CVD 壁上生孔劑材料的清除。雖然現有技術中沒有考慮或提及 典型的用作生孔劑材料的大量不飽和烴在艙清潔中所帶來 的獨特問題,但該問題是將含多孔電介質引入積體電路製 8 1248126 造業時不可忽視的問題。本發明克 ^ ^ f工業中的這個問 題,並將在以下與現有技術進行比較舉例說明。 發明内容 本發明是一種沈積了含有生孔劑材料的多孔膜後,清 潔半導體材料製程艙設備表面的方法,包括: 使含有質子施體的氣體與設備表面接觸,並與設 面沈積的生孔劑材料反應; 使含有氟施體的氣體與設備表面接觸,並與設備表面 沈積的膜反應。 優選的,該方法包括當設備表面與氟施體接觸時、尤 其在氟施體中不含氧時,使含有氧施體的氣體與設備表面 接觸並與設備表面沈積的膜反應。 更優選的,本發明是一種在沈積了含有生孔劑材料的 多孔電介質膜後,清潔半導體材料CVD製程艙中設備表面 的方法,包括: 將接觸設備表面的區域抽真空; 使該區域維持在電漿狀態下; 使含有質子施體的氣體與設備表面接觸,並與設備表 面沈積的生孔劑材料反應; 將該接觸設備表面的區域抽真空; 使含有氟施體和氧源的氣體與設備表面接觸,並與設 備表面沈積的電介質膜反應從而清潔設備表面。 9 1248126 實施方式 半導體器件是通過在矽晶圓上連續沈積薄膜材料(例 如二氧化矽)並使之圖案化製造的。在薄膜的化學氣相沈 積(CVD )過程中,材料在矽晶圓上沈積的同時也在製程 艙的表面上沈積。CVD艙需要進行定期的清潔以防止形成 粒子污染。通過使用電漿蝕刻法使艙壁上的CVD殘留物揮 發來清潔製程艙。 半導體器件中間層的電介質膜(ILD )以往一直為氧化 矽材料(例如TEOS)。這些矽基材料(例如二氧化矽)在 與氟反應後會揮發。輝光放電中產生的氟原子與矽反應, 產生的SiF4氣體從C VD驗中抽出。
Si02+4fZsiF4+02 全氟代化合物(PFC,例如CF4,C2F6,NF3 )及氟氣(f2 ) 疋CVD艙清潔中氟原子或氟基(F )合適的來源。π。易 於操作,因為它們沒有腐蝕性且在它們分解前在周圍條件 下不會與結構材料或氣體反應。CVD艙典型地使用 或NF3基電漿餘刻法進行清潔。 中間層的電介質膜典型的為使用下述前驅物製備的含 矽氧化物的膜,例如四乙基原矽酸酯、三乙基曱基原矽酸 酉曰、一乙氧基甲基矽烷、甲基矽烷、三甲基矽烷、二甲基 乙基矽烷或它們相應的矽氧烷、矽烷及它們各自的同系物 彳類似物、四甲氧基矽烷、四一正一丙氧基矽烧、四異丙 氧土夕燒四—正~ 丁氧基石夕烧、四一第二一丁氧基石夕炫、 1248126 ·· 四一第三一丁氧基石夕烧、四乙酿氧基石夕烧,和四苯氧基石夕 * 烷、六曱氧基二矽氧烷、六乙氧基二矽氧烷、六苯氧基二 矽氧烷、1,1,1,3,3—五甲氧基一3 —曱基二矽氧烷、1,1,1,3,3 —五乙氧基一3 —甲基二石夕氧烧、1,1,1,3,3 —五甲氧基一 3 一苯基二石夕氧烧、1,1,1,3,3 —五乙氧基一 3 —苯基二石夕氧 烷、1,1,3,3—四甲氧基一1,3 —二甲基二矽氧烷、1,1,3,3 — 四乙氧基一1,3 —二甲基二石夕氧说、1,1,3,3 —四甲氧基一1,3 一二苯基二石夕氧烧、1,1,3,3—四乙氧基一 1,3 —二苯基二碎 籲 氧烧、1,1,3 —三曱氧基一1,3,3 —三甲基石夕氧统、1,1,3 —三 乙氧基一1,3,3—三甲基二石夕氧烧、1,1,3 —二甲氧基一1,3,3 —三苯基二石夕氧烧、1,1,3 —三乙氧基一1,3,3 —三苯基二發 氧烧、1,3 —二曱氧基一1,1,3,3 —四曱基二石夕氧烧、1,3 —二 乙氧基一1,1,3,3 —四甲基二矽氧烷、1,3—二甲氧基一 1,1,3,3 —四苯基二碎氧烧和1,3 —二乙氧基一 1,1,3,3 —四 苯基二矽氧烷。其中,優選的化合物為六甲氧基二矽氧烷、 六乙氧基二矽氧烷、六苯氧基二矽氧烷、1,1,3,3 —四甲氧 基一1,3_二甲基二碎氧烧、1,1,3,3—四乙氧基一1,3 —二甲 基二矽氧烷、1,1,3,3_四曱氧基一 1,3—二苯基二矽氧烷、 1,3 —二曱氧基一1,1,3,3 —四曱基二石夕氧院、1,3 —二乙氧基 —1,1,3,3 —四曱基二石夕氧烧、1,3 —二曱氧基一1,1,3,3 —四 苯基二碎氧炫、1,3 —二乙氧基一1,1,3,3 —四苯基二碎氧 烷。當R7為被-(CH2)n-取代的基團時這些化合物的具體例 子包括:雙(三甲氧基甲矽烷基)甲烷、雙(三乙氧基曱 矽烷基)甲烷、雙(三苯氧基曱矽烷基)曱烷、雙(二曱 11 1248126 ; 氧基曱基甲矽烷基)甲烷、雙(二乙氧基曱基甲矽烷基) ^ 甲烷、雙(二甲氧基苯基甲矽烷基)曱烷、雙(二乙氧基 苯基甲矽烷基)甲烷、雙(甲氧基二曱基甲矽烷基)甲烷、 雙(乙氧基二甲基甲矽烷基)曱烷、雙(甲氧基二苯基甲 碎烧基)甲炫、雙(乙氧基二本基甲碎烧基)甲烧、1,2 — 雙(三甲氧基甲矽烷基)乙烷、1,2—雙(三乙氧基曱矽烷 基)乙烷、1,2—雙(三苯氧基甲矽烷基)乙烷、1,2—雙(二 甲氧基甲基甲矽烷基)乙烷、1,2_雙(二乙氧基曱基甲矽 • 烷基)乙烷、1,2—雙(二甲氧基苯基曱矽烷基)乙烷、1,2 一雙(二乙氧基苯基甲矽烷基)乙烷、1,2—雙(曱氧基二 甲基曱矽烷基)乙烷、1,2—雙(乙氧基二甲基曱矽烷基) 乙烷、1,2—雙(甲氧基二苯基甲矽烷基)乙烷、1,2—雙(乙 氧基二苯基曱矽烷基)乙烷、1,3—雙(三甲氧基曱矽烷基) 丙烷、1,3 —雙(三乙氧基甲矽烷基)丙烷、1,3—雙(三苯 氧基曱矽烷基)丙烷、1,3_雙(二甲氧基曱基甲矽烷基) ^ 丙烧、1,3 —雙(二乙氧基甲基甲石夕烧基)丙烧、1,3—雙(二 甲氧基苯基甲矽烷基)丙烷、1,3—雙(二乙氧基苯基甲矽 烷基)丙烷、1,3—雙(甲氧基二甲基甲矽烷基)丙烷、1,3 一雙(乙氧基二甲基甲矽烷基)丙烷、1,3—雙(甲氧基二 苯基甲矽烷基)丙烷、1,3—雙(乙氧基二苯基甲矽烷基) 丙烷;其中優選的化合物是雙(三甲氧基曱矽烷基)曱烷、 雙(三乙氧基甲矽烷基)甲烷、雙(二甲氧基曱基甲矽烷 基)甲烷、雙(二乙氧基甲基甲矽烷基)甲烷、雙(二甲 氧基苯基曱矽烷基)曱烷、雙(二乙氧基苯基曱矽烷基) 12 1248126 甲燒、雙(甲氧基二甲基甲矽烷基)甲烷、雙(乙氧基二 甲基甲矽烷基)甲燒、雙(甲氧基二苯基甲矽烧基)甲烧 和雙(乙乳基一苯基甲梦烧基)甲烧、四乙酿氧基碎烧、 甲基三乙醯氧基矽烷、乙基三乙醯氧基矽烷、正一丙基三
乙酿氧基矽烷、異丙基三乙醯氧基矽烷、正一丁基三乙醯 氧基石夕烧、第二丁基三乙醯氧基石夕燒、第三丁基三乙醯氧 基矽烷、異丁基三乙醯氧基矽烷、正一戊基三乙醯氧基矽 烧、第二一戊基三乙醯氧基矽烷、第三一戊基三乙醯氧基 矽烷、異戊基三乙醯氧基矽烷、新戊基三乙醯氧基矽烷、 苯基三乙醯氧基矽烷、二甲基二乙醯氧基矽烷、二乙基二 乙醯氧基矽烷、一—正一丙基二乙醯氧基矽烷、二異丙基 二乙醯氧基矽、二—正—丁基二乙醯氧基矽烷、二—第二 -丁基二乙酿氧基钱、二—第三—丁基二乙醯氧基石夕 烷、二苯基二乙醯氧基矽烷、三乙醯氧基矽烷、氫化倍半 石夕氧烧㈤Q’HSiU和甲基倍切㈣(叫肌〇15 其中R是曱基)和四曱基環四矽氧燒。 優選的,多孔膜是選自下列材料組成的群組:二 基甲基㈣、二甲氧基曱基㈣、二—異丙氧基甲基錢、 --第三-丁氧基甲基石夕院、甲基三乙氧基石夕 甲氧基㈣、甲基三異丙氧基甲基三—第=曱$: 基矽烷、二甲基二甲氧基矽烷、 虱 甲基二-異丙氧基石夕燒、二甲美—甲^一乙氧基石夕燒、二 --第三—丁氣其; 1,3,5,7 —四甲基環四矽氧烷、 土 、元、 、τ基一 j哀四矽氡焓 氧基矽烷和它們的混合物。 四乙 13 1248126 ILD膜還可以是以式siv〇wCxHyFz表示的⑽,盆中 v+w+x+y+z=1〇〇%,v 是 5·35 原子 %,w 是⑻Μ 原子 %, X是5-70原子%,7是1〇_7〇原子%,z是Ο·”原子%。 高級的半導體製備要求ILD具有比氧切(4 〇)低的 介電常數。中間層電介曾姑斗冰fTTn、 質材枓(ILD )的介電常數可因為具
有多孔性而降低。ILD層可通過在化學氣相沈積(cvd) 期間引入生孔劑材料(例如箱烯)而具有多孔性。該生孔 劑材料可在隨後的CVD後處理(例如加熱或uv照射)中 從沈積的ILD膜上清除,使原來存在生孔劑材料的位置形 成空隙從而降低材料的密度和介電常數。使用二乙氧基甲 基矽烷(DEMS”)沈積的摻碳二氧化矽(CDO)典型的 :有3.0的介電常數。在CVD過程中引入箱烯可使該介電 节數降低至2.2-2.5。這裏所說的“生孔劑材料,,是一種用 於在所形成的膜中產生大量空隙的試劑。廣泛的說生孔劑 材料是選自以下群組中的至少一種:(a)至少一種具有環 狀…構並符合通式Cnfjh的環烴,其中η等於4_14,環狀 、、、。構中的碳數為4-1 〇,並且該至少一種環烴選擇性地包含 取代於環狀結構上的多個直鏈或支鏈烴;(b )至少一種具 有通式CnH(2n+2)_2y的直鏈或支鏈的飽和烴、部分或全部不 飽和烴,其中n = 2_2〇,y = 〇_n ; ( c )至少一種具有一個環 結構並符合通式CnH2n-2x的單或多不飽和環烴,其中X表 示不飽和鍵的個數,η等於4-14,環烴中碳的個數為4-10, * w 該至少一種單或多不飽和環烴選擇性地包含取代於環 狀結構上的多個直鏈或支鏈烴取代基,並在内環或其中一 14 1248126 上八有不飽和結構;(d)至少一 並符合通式c H . M w 禋,、有雙%結構 ' nH2n_2的雙裱烴,其中η等於4_14, 中的碳數為4-12,並且該至少一種雙環 構 代於雙環結構上的多個單直鏈或支鏈煙;(e^地包含取 :雙:結構並符合通式一)的多不飽和雙 4-12, , n^4 14H㈣Μ „ 該至少一種多不飽和烴選擇性的包含取代於雙
㈣構上的多個直鏈或支鏈烴,並在内環或其中—個取代 基上具有不飽和結構;(f) i少一種具有三環結構並符合 通式(a) CJIh·4的三環烴,其中n等於4_14,三環結構 上的碳數為4-12 ’並且該至少一種三環烴選擇性的包含取 代於ϊ哀狀結構上的多個直鏈或支鏈烴;及它們的混合物。 特別的,適用於本發明電介質材料的生孔劑材料包括 不穩定有機物、溶劑、可分解的聚合物、表面活性劑、樹 枝體(dendrimers)、超支鏈聚合物、聚氧化亞烷基化合物、 有機大分子或它們的組合。典型的生孔劑材料包括:^ 一 萜烯、檸檬烯、環己烷、1,2,4 —三甲基環己烷、丨,5一二甲 基—1,5 一環辛二烯、获稀、金剛烧、1,3 — 丁二烯、取代的
Cx雙稀(其中χ=2-18)和萘院。 另外,多孔電介質膜可通過使用具有與二氧化矽前驅 物(例如生孔劑的1,3,5,7—四甲基環一四矽氧烷)鍵接的 吕能團的分子製備而成’例如二一新己基一二乙氧基碎 烷、1,4 —雙(二乙氧基甲矽烷基)環己烷、三甲基甲矽烷 基乙基一1,3,5,7—四甲基環—四矽氧烷、1—新己基一 15 1248126 ,3,5,7—四甲基環四矽氧烷 新戊基一 1,3,5,7—四甲基 環四矽氧烷、新戊基二乙氧基矽烷、新己基二乙氧基矽烷 新己基三乙氧基矽烷、新戊基三乙氧基矽烷和新戊基一二 一第三一丁氧基矽烷。 然而在隨後的CVD艙清潔中,要從CVD艙壁上清商 在CVD處理中使用的大分子和低聚物通常是典型的不飽矛 生孔劑材料例如卜松油烯(“ATRp”)和ATRp的低秀 物是很困難的^發現f用於清潔艙壁的處理方法(例如 cA/h或吨電漿)對於DEMS_ATRp類多孔電介質沈希 物的π潔沒有效果。最初,試圖使cvd艙壁上的微p穷 留物揮發的方法是在〇2電襞階段(階段υ後,接著進和 ==(例如〇2或Ν川的NF3/C2F6㈣處理(階段2 ) γ A基和NF3基搶清潔的例子列舉在m ^和制 2 。添加氧的目的在於使CVD艙壁上的4 $ μ & ±| 留物氧化,並…… 腊壁上的生孔劑材料的殘 電浆使氧化Γ c〇2和CG)的形式揮發。氟 電漿使乳化矽以SiF4的形式揮發。
16 1248126 實例1現有技術中C2F6的艙清潔 C2F6清潔是在沈積了 2·0μιη的DEMS-ATRP後在上述 條件下進行的。由沈積了 2.0μιη的DEMS-ATRP後進行的 GF6清潔的QMS曲線圖(圖1 )中看出,〇2電漿確能使 ATRP以C〇2的形式揮發,而DEMS以SiF4的形式揮發。 在有〇2電漿期間C02的分壓(階段1,如圖1中3000-3300 秒時)出現了明顯的增長。300秒後C02的壓力返回基線。 在隨後的CJVO2電漿(階段2,如圖1中3500-4000秒時) 期間,SiF4的分壓在300秒後返回基線。另外,隨SiF4的 減少出現的F2的上升是好的監測終點。因為認為〇2電漿 和C2F6/02電漿各自的目的是用於清除ATRP和DEMS, QMS曲線圖顯示該清潔已經完成(也就是說清潔時間很充 分)。如表2中第4列所述,相當數量的棕色殘留物留在了 艙壁和抽運管道中。
實例2沈積3次後的現有技術c2F6艙清潔 經過三次2.Ομηι的DEMS-ATRP沈積(也就是累積沈 積了 6·0μιη)後,都隨後進行了如表1中列舉條件下的C2F6 搶清潔,PECVD艙進行了視覺檢測。如表2中第4列所述 相當數量的棕色殘留物留在了艙壁和抽運管道中。因為在 這次艙清潔試驗前,該PECVD艙進行了濕法清潔,所以當 只考慮處理了三片晶圓,該PECVD艙的狀態是驚人的。以 傳統方法解釋的QMS為基準,儘管QMS監測器指示清潔 70全’現有技術中的(:2匕艙清潔並沒有有效的清除CVD 17 1248126 艙所有的殘留物。在NF3基清潔(在表丨所列舉的條件下) 中也可以看到類似的結果。 本發明中,化學還原被用於清除CVD反應艙壁上的多 孔電介質膜,即DEMS-ATRP殘留物。雖然不寄望於任何 特定理論的支持,但本發明認為多孔電介質沈積後,還原或 質子施體的處理階段使CVD艙壁上殘留的生孔劑材料還原 並斷裂’生成不會與之後的氟基清潔電漿聚合的飽和烴。 含有質子施體或質子施體的氫源氣體可以是H2、CH4、 C2H6、CxHy、NH3 或 H20,其中 χ 等於 l-5,y 等於 4-12, 優選的為飽和烴質子施體。含有氟施體的氟源氣體可以是 F2、nf3、cf4、c2F6、C3F8、C4F8、C4F80、chf3、c〇F2、 雙氟氧二氟代甲烧、cx氫化碳氟化合物、全氟代烴和氧合 碳氟化合物(其中x= ^6)或其他氫化碳氟化合物。含氟 施體的氣體中可以含有選自下列群組的氧源:氧、臭氧、 水、一氧化氮、一氧化二氮、二氧化氮、二氧化矽及它們 的混合物。壓力可以為0U00托。在階段1和2之前、中 間和之後的抽真空階段中可小於600托。可在50(M〇,〇00 瓦的射頻下產生電漿條件。電漿可以是遠端的電漿,它使 知將要清潔的反應艙上游和外側的氟源分解,也可以是將 要清潔的反應艙内產生的現場電漿。用於產生電漿的除射 頻之外的其他能量源還在探索中。 實例3質子施體/氟施體的清潔 用於本發明的清潔CVD艙的例子如表1 (實例3 )。該 1248126 例中生孔劑材料的清潔是使用&和NF3在表i中列舉的狀 悲下分2個階段的處理。該生孔劑材料清潔期間的曲 線圖如圖4。在Ha電漿階段(階段1,圖2中所示的 800s-1200s) ’觀察到了烴產生的離子(HC,15amu),其顯 示出ATRP確可反應生成烴蝕刻產物(注意:由於仏的干 涉而在15amu有背景信號)。通過&電漿使得ATRp的壓 力降低了一個數量級(即如圖2中ATRp的除氣較少)。在 隨後的NFs/ 〇2電漿(階段2 )中可以觀察到SiF4和 蝕刻產物。當F2出現時這些副產物的壓力返回基線,表示 清潔已經完全。如表2中第4列所示艙壁上已沒有棕色殘 留物。 實例4三次沈積後的質子施體/氟施體清潔 經過三次2·0μιη的DEMS-ATRP沈積(也就是累積沈 積了 6·0μπι )後,都隨後進行了本發明的生孔劑材料清潔 (表1 ) ’對PEC VD艙進行了視覺檢測。如表π中第4列 所示,艙壁上已經沒有棕色的殘留物。 1248126 表II艙清潔結果 實例 沈積- 清潔循 環次數 喷頭是 否乾淨 艙壁是否乾淨 節流閥口是否乾淨 實例1 3 乾淨 不乾淨,棕色斑點殘 留物覆蓋在所有的 表面上 不乾淨,周邊和埠都 被棕色殘留物覆蓋 實例2 3 乾淨 不乾淨,棕色斑點殘 留物覆蓋在所有的 表面上 不乾淨’周邊和璋都 被棕色殘留物覆蓋 實例3-4 3 乾淨 乾淨 乾淨 可行性實驗證明,在多孔ILD沈積例如含有生孔劑材 料的DEMS-ATRP沈積,即上述的含碳殘留物後,PECVD 艙可以通過化學還原過程或質子施體(例如H2電漿階段) 進行有效的清潔。質子施體例如H2電漿階段中的化學還原 過程使生孔劑材料典型的是易與產生的氟聚合的不飽和大 分子還原並斷裂,生成不與隨後的氟電漿聚合的飽和烴。 氧化矽源例如DEMS將在NF3/ 02電漿處理(階段2 )中以 SiF4的形式被蝕刻。 本發明認為傳統的氟施體清潔不能充分的清除電介質 沈積艙表面上的生孔劑材料。歷史以來該氟施體清潔能充 分的清除不含有生孔劑材料的電介質前驅物和反應產物。 隨著近期對生孔劑材料在電介質膜中沈積可獲得所需的低 介電常數這一目的的考慮,根據需要一種解決生孔劑材料 和生孔劑材料的反應產物及其副產物的方法被本發明確 20 1248126 疋。其特別適用於使搶壁上大的不飽和生孔劑材料在與含 氣清潔氣接觸前減少或斷裂,氟會在不飽和位上與生孔劑 材料聚合’並使之成為全氟化物,從而使其難以與清潔試 劑發生進一步的反應。氫處理一直被用於半導體和艙處理 中’但從未用於大分子和/或不飽和生孔劑材料的分子中。 因此,在現有技術中無法實現反應艙表面的質子施體處理 用於清潔非需要的所述分子沈積物的效果,或從未認識到 疋個問通,而且現有技術也沒有確定通過質子施體或氫 還原來解決該非需要的生孔劑材料沈積物。 本發明列舉了許多示範性的實施例,但本發明的所有 範圍由以下的申請專利範圍確定。 圖式簡單說明 圖1是在現有技術中提及的含有生孔劑材料的沈積媒 的c2f6搶清潔中,揮發的排出物的四極質譜儀(“⑽^,、 曲線圖。 圖2疋包3質子施體的本發 个货明的含有多孔材料的沈積 膜的NF3搶清潔中,揮發的排φ ^ 、 伴知的排出物的QMS曲線圖。 21

Claims (1)

1248126 十、申請專利範圍: 1 · 一種沈積了含有生孔劑材料的多孔膜後清潔半導體 材料製程艙設備表面的方法,包括: 使含有質子施體的氣體與設備表面接觸,並與設備表 面沈積的生孔劑材料反應; 使含有氟施體的氣體與設備表面接觸,並與設備表面 沈積的膜反應。 2 ·如申凊專利範圍第1項所述的方法,其中所述與含 氟施體氣體的接觸在與含質子施體氣體的接觸之後。 3·如申請專利範圍第丨項所述的方法,其中所述的與 含質子施體氣體的接觸在與含氟施體氣體的接觸之後。 4·如申請專利範圍第!項所述的方法,其中所述的與 書含氟施體氣體的接觸與含質子施體氣體的接觸同時進行。 5 ·如申請專利範圍第丨項所述的方法,其中含質子施 體的氣體包含選自由氫、甲烷、乙烷、氨、水、CxH其中 並且y=4-12、以及它們的混合物所組成的群中的 試劑。 6 ·如申請專利範圍第1項所述的方法,其中含質子施 體的氣體包含還原氣體。 、 22 1248126 7 ·如申請專利範圍第1 ΛΛ ^ ^ ^ 所述的方法,其中含氟施體 的乱體包含選自由F2、NF3、c CHF3、COF m ^ ^ 2 6、3F8、C4F8、C4F80、 3 L0F2、雙鼠氧二氟代甲焓、々廿 八物、X友# > 或其他cx氫化碳氟化 口物全鼠代烴、氧合碳氟化合物苴中^ 沾翁儿人t 勿/、中x = 1-6組成的組中 5物以及它們的混合物龍成的群。
8.如巾料㈣圍第丨項所述的方法其中所述的生 Μ材枓為以下物質所組成的群中的至少一種: (〇至少一種具有環狀結構並符合通式CnH2n的環 其中η等於4-14,,衰狀結構中的碳數為4_1〇,並且該 至少-種環烴選擇性地包含取代於環狀結構上的多個直鍵 烴或支鏈烴; 一()至〃種具有通式CnH(2n+2)-2y的直鏈或支鏈的飽 和烴、部分或全部不飽和烴,其中n=2_2〇,y=〇_n; 至少一種具有一個環結構並符合通式CnH2n2x的 單或夕不飽和環,其中χ表示不飽和鍵的個S,η等於 4-14,環烴中碳的個數為4_1〇,並且該至少一種單或多不 飽和環烴選擇性地包含取代於環狀結構上的多個直鏈烴或 支鏈烴取代基,並在内環或其中一個取代基上具有不飽和 結構; (d)至少一種具有雙環結構並符合通式的雙 環烴,其中η等於4-14,雙環結構中的碳數為4_12,並且 該至少一種雙環烴選擇性地包含取代於雙環結構上的多個 23 1248126 直鏈烴或支鏈烴; (e)至少一稽且女雄 種一有雙%結構並符合通式 的多不飽和雙環烴,A中主一 ^ CnH2n.(2+2x) ,、 X表不不飽和鍵的個數,η等於 選擇性^人為4_12’並且該至少―種多不飽和烴 取代於雙環結構上的多個單直鏈或支鏈烴, 、,内環或其中—個取代基上具有不飽和結構; ::至V種具有三環結構並符合通式(a) CnH2n4 、-0 ’其中n等於4_14 ’三環結構上的碳數為4·ΐ2, 並且。亥至)一種三環烴選擇性的包含取代於環狀結構上的 多個直鏈或支鏈烴; 及它們的混合物。 9 ·如申請專利範圍第1項所述的方法,其中生孔劑材 料包含選自下列群的一化合物,該群由α —萜烯、檸檬烯、 i衣己烷、1,2,4 —三曱基環己烷、i,5_二甲基—i,5_環辛 一烯、莰烯、金剛烷、L3 — 丁二烯、Cx取代雙烯其中χ = 2_18和蔡烷以及它們的混合物所組成。 ·如申請專利範圍第1項所述的方法,其中含氟施 體氣體包括氧源。 11 ·如申請專利範圍第1項所述的方法,其中含氤施 體的氣體包括選自氧、臭氧、水、/氧化氮、一氧化二氮、 一氧化氮、二氧化矽及它們的混合物所組成的群中的一氧 24 1248126 源。 12如申請專利範圍第1項所述的方法,其中所述膜 與生孔劑材料的前驅物存在於相同的分子中。 1 3 ·如申請專利範圍第 選自由二乙氧基甲基矽烷、 基曱基石夕燒、二一第三—丁 石夕烧、甲基三甲氧基矽烷、 三一第三~ 丁氧基矽烷、二 乙氧基矽燒、二甲基二一異 一丁氧基碎烧、1,3,5,7 —四 四矽氧烷、四乙氧基矽烷及 1項所述的方法,其中多孔膜 二甲氧基甲基矽烷、二一異丙 氧基甲基矽烷、曱基三乙氧基 甲基三一異丙氧基矽烷、甲基 甲基二曱氧基矽烧、二曱基二 丙氧基矽烷、二曱基二—第三 甲基環四石夕氧燒、八甲基—環 它們的混合物所組成的群。 14 ·如申請專利範圍第1項所述的方法,其中所述的 多孔膜包括式Siv〇wCxHyFz表示的有機矽玻璃,其中 v+w+x+y+z=l00%,v 是 5_35 原子 %,w 是 1〇_65 原子 %, X是5-70原子%,y是1〇_7〇原子%,z是〇_15原子%。 15 · —種在沈積了含有生孔劑材料的多孔電介質膜後 清潔半導體材料CVD製程艙中設備表面的方法,包括· 將接觸設備表面的區域抽真空; 使該區域維持在電漿狀態下; 使含有質子施體氣體與設備表面接觸,並與設備表面 25 1248126 沈積的生孔劑材料反應; 將該接觸設備表面的區域抽真空; 使含有氟施體和氧源的氣體與設備 、又两衣面接觸,並與設 備表面沈積的電介質膜反應從而清潔設備表面 ^ ° 16.如申請專利範圍第15項所述的方法其 施體的氣體包括惰性氣體。 、
17·如申請專利範圍第15項所述的方法 體的氣體包括惰性氣體。 其中含氣施 18·如申請專利範圍第15項所述的方法,其中電漿由 500-5000瓦的射頻產生。 19·如申請專利範圍第15項所述的方法,其中抽真空 | 在低於600托的壓力下進行。 20· —種自含有α_萜烯生孔劑材料的二乙氧基甲基 矽烷沈積多孔電介質膜後清潔半導體材料CVD製程艙中設 備表面的方法,包括: (a) 在不高於600托的壓力下將接觸設備表面的區域 抽真空; (b) 使該區域維持在由1000_2000瓦射頻產生的電浆 狀態下; 26 1248126 (C)使氫與設備表面接觸,並與設備表面沈積的α — 萜烯生孔劑材料反應;然後 (d)在不高於600托的壓力下將該接觸設備表面的區 域抽真空;然後 (e )使NF3和〇2與設備表面接觸,並與設備表面沈 積的電介質膜反應從而清潔設備表面。 21· —種自含有α —萜烯生孔劑材料的二乙氧基甲基 矽烷沈積多孔電介質膜後清潔半導體材料CVD製程艙中設 備表面的方法,包括: (a) 將接觸設備表面的區域抽真空至壓力不高於6〇〇 托; (b) 使該區域維持在由1000-2000瓦射頻產生的電聚 狀態下; (c) 使氫與设備表面接觸,並與設備表面沈積的“ 一 菇烯生孔劑材料反應;然後 (d) 將該接觸5又備表面的區域抽真空至壓力不高於 6〇〇托;然後 (e) 使GF6和A與設備表面接觸,並與設備表面沈 積的電介質膜反應從而清潔設備表面。 27
TW094101467A 2004-01-23 2005-01-18 Cleaning CVD chambers following deposition of porogen-containing materials TWI248126B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53883204P 2004-01-23 2004-01-23
US11/019,709 US20050161060A1 (en) 2004-01-23 2004-12-22 Cleaning CVD chambers following deposition of porogen-containing materials

Publications (2)

Publication Number Publication Date
TW200527531A TW200527531A (en) 2005-08-16
TWI248126B true TWI248126B (en) 2006-01-21

Family

ID=34681025

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094101467A TWI248126B (en) 2004-01-23 2005-01-18 Cleaning CVD chambers following deposition of porogen-containing materials

Country Status (7)

Country Link
US (1) US20050161060A1 (zh)
EP (1) EP1561841A2 (zh)
JP (2) JP2005210130A (zh)
KR (1) KR100725078B1 (zh)
CN (1) CN1651159A (zh)
SG (1) SG113617A1 (zh)
TW (1) TWI248126B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI465298B (zh) * 2008-06-27 2014-12-21 Applied Materials Inc 用於高產量及穩定逐基材表現之快速週期和廣泛的後期紫外臭氧清洗程序之添加

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7727401B2 (en) * 2004-11-09 2010-06-01 Air Products And Chemicals, Inc. Selective purification of mono-terpenes for removal of oxygen containing species
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7479191B1 (en) * 2005-04-22 2009-01-20 Novellus Systems, Inc. Method for endpointing CVD chamber cleans following ultra low-k film treatments
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US20100018548A1 (en) 2008-07-23 2010-01-28 Applied Materials, Inc. Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
WO2011031858A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US8753986B2 (en) 2009-12-23 2014-06-17 Air Products And Chemicals, Inc. Low k precursors providing superior integration attributes
JP2015529395A (ja) * 2012-08-23 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uvチャンバを洗浄するための方法及びハードウェア
JP6459489B2 (ja) 2014-03-11 2019-01-30 三菱マテリアル株式会社 シリカ多孔質膜形成用液組成物及びその液組成物から形成されたシリカ多孔質膜
CN103956331B (zh) * 2014-04-29 2016-09-28 复旦大学 一种用于多孔互连介质表面封孔的薄膜及其制备方法
CN104008997A (zh) * 2014-06-04 2014-08-27 复旦大学 一种超低介电常数绝缘薄膜及其制备方法
CN105239056B (zh) * 2015-10-27 2018-01-26 上海集成电路研发中心有限公司 一种原子层沉积设备以及方法
US10161034B2 (en) 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07100865B2 (ja) * 1986-03-13 1995-11-01 富士通株式会社 減圧cvd処理装置のクリーニング法
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
DE4009391C2 (de) * 1990-03-23 1994-03-31 Binzel Alexander Gmbh Co Kg Antriebseinrichtung für endlose, abschmelzende Drahtelektroden von elektrischen Schweißbrennern
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
JP3253675B2 (ja) * 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
JP2737720B2 (ja) * 1995-10-12 1998-04-08 日本電気株式会社 薄膜形成方法及び装置
US6225434B1 (en) * 1997-08-01 2001-05-01 Ppg Industries Ohio, Inc. Film-forming compositions having improved scratch resistance
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP3112880B2 (ja) * 1998-02-06 2000-11-27 鹿児島日本電気株式会社 Cvd装置のクリーニング方法
SG89396A1 (en) * 2000-05-12 2002-06-18 Applied Materials Inc Gas reactions to eliminate contaminates in a cvd chamber
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
WO2004095555A1 (ja) * 2003-04-22 2004-11-04 Tokyo Electron Limited 熱処理装置のクリーニング方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI465298B (zh) * 2008-06-27 2014-12-21 Applied Materials Inc 用於高產量及穩定逐基材表現之快速週期和廣泛的後期紫外臭氧清洗程序之添加

Also Published As

Publication number Publication date
SG113617A1 (en) 2005-08-29
CN1651159A (zh) 2005-08-10
KR20050076773A (ko) 2005-07-27
TW200527531A (en) 2005-08-16
EP1561841A2 (en) 2005-08-10
KR100725078B1 (ko) 2007-06-08
JP2008263230A (ja) 2008-10-30
JP2005210130A (ja) 2005-08-04
US20050161060A1 (en) 2005-07-28

Similar Documents

Publication Publication Date Title
TWI248126B (en) Cleaning CVD chambers following deposition of porogen-containing materials
KR102400322B1 (ko) 챔버 세정 및 반도체 식각 기체
US7581549B2 (en) Method for removing carbon-containing residues from a substrate
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
JP2020533809A (ja) 多積層をエッチングするための化学的性質
KR20240011245A (ko) 반도체 구조를 에칭하기 위한 질소-함유 화합물
TWI281715B (en) Remote chamber methods for removing surface deposits
EP1619269A2 (en) Method for enhancing fluorine utilization
JP2015073096A (ja) パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
JP6480417B2 (ja) ヒドロフルオロオレフィンエッチングガス混合物
JP2006156539A (ja) プラズマ反応用ガス
JP2000349071A (ja) ケミカルドライエッチング方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees