JP2008147675A - 不均一窒化金属膜を用いる電気的接続構造物の形成方法およびこの方法によって製造された接続構造物 - Google Patents

不均一窒化金属膜を用いる電気的接続構造物の形成方法およびこの方法によって製造された接続構造物 Download PDF

Info

Publication number
JP2008147675A
JP2008147675A JP2007318639A JP2007318639A JP2008147675A JP 2008147675 A JP2008147675 A JP 2008147675A JP 2007318639 A JP2007318639 A JP 2007318639A JP 2007318639 A JP2007318639 A JP 2007318639A JP 2008147675 A JP2008147675 A JP 2008147675A
Authority
JP
Japan
Prior art keywords
layer
metal
opening
metal layer
metal nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007318639A
Other languages
English (en)
Inventor
Jin-Ho Park
津 鎬 朴
Seong-Hwee Cheong
聖 熙 鄭
Kichigen Sai
吉 鉉 崔
Sang-Woo Lee
相 遇 李
Ho-Ki Lee
虎 基 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2008147675A publication Critical patent/JP2008147675A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】集積回路素子の電気的接続構造物の形成方法を提供する。
【解決手段】半導体基板100上に第1絶縁層200を形成し、第1絶縁層に開口を形成する。開口の側壁を不均一の窒素濃度を有する窒化第1金属層312でライニングする。開口の内部に導電パターン410が形成される。導電パターンと窒化第1金属層との間に第2金属窒化膜320が形成される。
【選択図】図10

Description

本発明は集積回路素子の製造方法にかかわり、より詳細には電気的接続構造物を具備する集積回路素子の製造方法に関する。
最近の集積回路素子の製造方法は、一般的に絶縁層内にコンタクトホールまたはビアホールを形成する段階、及び蒸着工程や平坦化工程を用いて前記コンタクトホールを導電性コンタクトプラグで埋め立てる段階を含む。ここで、前記コンタクトホールを前記コンタクトプラグで埋め立てる前に前記コンタクトホールの内側壁を障壁でライニングする段階を行うことが必要である。前記障壁層は、下部に配置される接着層(glue layer)と上部に配置される拡散防止層(diffusion barrier layer)の複合層で構成される。前記接着層は、前記コンタクトホールの内側壁と直接接触し、前記拡散防止層は前記接着層と直接接触する。一般的に前記接着層としてタングステン層を用い、前記拡散防止層としてタングステン窒化膜を用いる。
従来のコンタクトプラグの形成工程は、一般的に前記コンタクトホールの内部を埋め立てている金属層を化学機械的研磨(CMP)する段階を含む。しかし、前記化学機械的研磨工程は、前記コンタクトホールの内部に形成された前記接着層をエッチバックすることができるスラリー層生物を用いて行われ、前記コンタクトホールの内側壁と前記コンタクトプラグとの間にボイドを形成するという問題点がある。前記ボイドは前記コンタクトプラグを電気的接続構造物で用いる集積回路素子の信頼性を低下させる原因となることが広く知られている。
したがって、本発明の目的は、コンタクトホールの内側壁とコンタクトプラグとの間のボイドを除去しうる集積回路素子の製造方法を提供することにある。
本発明の他の目的は、コンタクトホールの内側壁とコンタクトプラグとの間のボイドを除去しうる集積回路素子を提供することにある。
前記の目的を達成するために本発明の一実施形態による集積回路素子の製造方法によると、第1金属層で第1絶縁層に形成された開口をライニングし、前記開口の上側部と隣接するように延長する前記第1金属層の一部を前記開口の下側部と隣接するように延長する前記第1金属層の一部より相対的に高い窒素濃度を有する窒化第1金属層に選択的に変換する。前記窒化第1金属層上に第2金属窒化膜を形成し、前記第2金属窒化膜上に導電層を形成して前記開口を埋め立てる。前記第1絶縁層が露出するように十分な期間、前記導電層を平坦化して前記開口の内部に前記第2金属窒化膜及び前記窒化第1金属層だけ離隔した導電パターンを形成する。
一実施形態によると、前記窒化第1金属層への選択的変換段階は、前記第1金属層を窒素プラズマに露出することによって行われる。例えば、前記第1金属層を不均一にバイアスさせかつ金属プラズマに露出して前記窒化第1金属層の窒素濃度を不均一に形成することができる。ここで、前記窒素プラズマは、0.1Torr〜500Torrの圧力範囲と200℃〜700℃の温度範囲で形成される。
前記窒化第1金属層への選択的変換段階は、200℃〜950℃の温度範囲を有する窒素雰囲気下で、前記第1金属層を熱処理する段階によって行うこともできる。
一実施形態においては、前記第2金属窒化膜は、前記窒化第1金属層の上部に原子層蒸着工程によって前記第2金属窒化膜を蒸着することで形成することができる。ここで、前記第2金属窒化膜は、30Å〜400Åの厚さまで形成され、前記第1金属層は20Å〜100Åの厚さまで形成される。
一実施形態においては、前記導電層は、タングステン、銅、及びアルミニウムからなる群より選択されたいずれかを化学気相蒸着工程を用いて蒸着することで形成することができる。また、前記第1絶縁層の前記開口を前記第1金属層でライニングする段階は、イオン化した金属プラズマ工程または原子層蒸着(atomic layer deposition)工程によって行うことができる。
前述したような本発明によると、絶縁膜とコンタクトプラグとの間に位置する障壁層の上部は金属窒化物で構成され、下部は金属層及び金属窒化物で形成され、コンタクトプラグの接触抵抗を十部低く維持しかつ前記コンタクトプラグの形成のための平坦化工程にて前記障壁層が損傷することを防止することができる。したがって、コンタクトホールとコンタクトプラグとの間にボイドが生成されることを防止することができる。
以下、添付する図面を参照して本発明の望ましい実施形態を詳細に説明する。
図1は、本発明の一実施形態によって集積回路を製造する方法を示すフローチャートである。
図1を参照すると、まずNタイプ領域またはPタイプ領域のような半導体領域を有する基板上にトランジスタ、センサー、ダイオード、抵抗体、及び論理ゲートなどのような半導体素子を形成する(段階S12)。その後、前記基板上に絶縁層を形成し(段階S14)、少なくとも一つの開口を有するように前記絶縁層をパターニングする(段階S16)。前記開口の側壁に第1金属膜を形成し(段階S18)、前記第1金属膜の一部を不均一の窒素濃度を有する窒化第1金属膜(nitrified first metal layer)に変形させる(段階S20)。その後、前記窒化第1金属膜の上部に第2金属膜を形成する(段階S22)。一実施例としては、前記第2金属膜は、窒化金属膜を含む。前記開口は、導電パターンで埋め立てられ(段階S24)、前記導電パターンは前記開口の内側壁と前記第2金属膜及び窒化第1金属膜の厚さだけ離隔して配置される。その後、上部絶縁膜と配線パターン及び接続子のような接続構造物が前記絶縁層の上部に形成される(段階S26)。そして、追加的な後続工程(back−end fabrication steps)が行われ(段階S28)、半導体ウエハのような前記基板を複数のチップに切断する(段階S30)。前記複数のチップに対してそれぞれパッケージ工程を行い(段階S32)、それぞれの集積回路素子に形成する。
図2ないし図9は、本発明の一実施形態による集積回路素子の製造方法を示す断面図である。特に、図2は、基板100の上部に絶縁層200を形成する段階を示す。前記基板100は、シリコンウエハのような半導体基板であり、前記絶縁層200は、少なくとも一つの誘電物質を含む。一実施例としては、前記絶縁層200はBPSG(boron−phospho−silicate glass)膜、PSG(phospho−silicate glass、PSG)膜、FSG(fluorinated silicate glass)膜、PE−TEOS(plasma−enhanced tetraethyl orthosilicate)膜、及びUSG(undoped silicate glass)膜を含む。前記絶縁層200は、複数の誘電膜の複合層として形成することもできる。例えば、前記絶縁層200は、高密度プラズマ酸化膜またはUSG膜に形成され、下部に位置する第1誘電膜及び前記PE−TEOS膜に形成され、上部に位置する第2誘電膜に構成することができる。一実施例としては、前記PE−TEOS膜、テトラエトキシシラン(Si(OC)ガスおよび酸素(O)またはオゾン(O)ガスを用いたプラズマ増強気相蒸着(plasma−enhanced chemical vapor deposition、PECVD)工程を用いて形成することができる。
図3を参照すると、前記絶縁層にコンタクトホールのような開口220を形成するためのパターニング段階が行われる。前記第1開口220は、プラズマ乾式エッチング工程のような一般のパターニング方法を用いて形成される。前記第1開口220は、前記絶縁層200を貫通するように延長され下部に位置する前記基板100を露出する。他の実施例としては、前記基板の上部に配線層や導電プラグのような導電物質領域が形成され、前記開口を通じて前記導電物質領域の上部面を露出することができる。その後、第1金属層310が前記第1開口220を含む前記絶縁層200の表面形状に沿って形成される。一実施例としては、前記第1金属層310は、タングステンチタニウム、コバルト、及びタンタルの少なくとも1つの金属を含み、約20Å〜100Åの厚さを有するように形成する。
図4を参照すると、前記第1金属層310は、前記第1開口220の内部に延長され、前記第1開口220の側壁と底面に沿って形成される。すなわち、第1金属層で第1絶縁層に形成された開口をライニングする。一実施例としては、前記第1金属層310はイオン金属プラズマ(ionized metal plasma)工程や原子層蒸着(atomic layer deposition、ALD)工程によって形成される。他の実施例としては、前記第1金属層310は、PND(pulsed nucleation deposition)工程、またはCyclic CVD工程によって形成することができる。原子層蒸着工程を用いる場合には、図3に示したような構造物を原子層蒸着工程チャンバに供給する。前記第1金属層のソース成分を含む反応物質を還元物質およびパージガスとともに前記工程チャンバに供給する。ここで、前記工程チャンバは、約250℃〜550℃の温度と約0.1Torr〜350Torrの圧力に調節される。望ましくは、前記工程チャンバは約3Torrの圧力に調節される。前記反応物質は、WF、WC15、WBr、WCo、W(C、W(PF、W(ally)、(CH5)WH)、[CH(C]WH、(C)WCo(CH)、W(butadiene)、W(methylvinylketone)、(C)HWCo、及び(C)WCoのうち、少なくともいずれか一種を含む。前記還元物質は、H、Si、B、PH、及びSiHのうち、少なくともいずれか一種を含む。前記パージガスはHe、Ne、Ar、Xe、及びNのうち、少なくともいずれか一種を含む。
図5を参照すると、前記第1金属層に対して窒化処理(nitridation treatment)を行う。前記窒化処理は、前記第1開口220の上部側壁に隣接して形成された前記第1金属層の一部を選択的に窒化して窒化第1金属層(nitrified first metal layer)312を形成する。前記窒化第1金属層312は、上部312a及び下部312bを含む。前記窒化第1金属層312の上部312aは、下部312bより高い窒素濃度を有する。したがって、前記第1金属層312の下部312bは、上部312aより低い抵抗を有する。一実施例でとしては、前記窒化処理は、N、NH、NとHとの混合ガス、及びこれらの混合ガスのいずれが供給される工程チャンバにおいて、前記第1金属層312を窒素プラズマに露出させることによって行われる。前記窒素プラズマは、前記第1金属層312の下部312bよりは上部312aに更に大きいバイアスをかけることによって、前記第1金属層312の上部312aの方に更に加速することができる。一実施例としては、前記窒素プラズマは、約1700ワットのパワーと約300Vの電圧を通じて形成することができる。ここで、前記工程チャンバの内部は、約0.1Torr〜10Torrの圧力と約300℃〜700℃の温度を維持する。望ましくは、約3Torrの圧力を維持する。他の実施例で、前記窒化処理は、約500℃〜950℃の温度で窒素ガスを用いた熱処理工程によっても行うことができる。
図6を参照すると、第2金属窒化膜320が前記窒化第1金属層312上に形成され、複合層として形成された前記障壁金属層300を形成する。一実施例としては、前記第2金属窒化膜320は、ALD、PND(pulsed nucleation deposition)またはサイクリックCVD工程を通じて約30Å〜400Åの厚さに形成される。ALD工程を用いる場合には、図5に示した構造物がALD工程チャンバに供給される。
前記第1金属層のソース成分を含む反応物質を窒素(N)やアンモニア(NH)のような第2反応物質、還元物質、及びパージガスと共に前記工程チャンバに供給する。ここで、前記工程チャンバは、約250℃〜550℃の温度と約0.1Torr〜350Torrの圧力とに調節される。望ましくは、前記工程チャンバは、約3Torrの圧力に調節される。前記反応物質は、WF、WC15、WBr、WCo、W(C、W(PF、W(ally)、(C)WH、[CH(C]WH、(C)WCo(CH)、W(butadiene)、W(methylvinylketone)、(C)HWCo、及び(C)WCoのうち、少なくともいずれか一種を含む。前記還元物質は、H、Si、B、PH、及びSiHのうち、少なくともいずれか一種を含む。前記パージガスは、He、Ne、Ar、Xe、及びNのうち、少なくともいずれか一種を含む。
前記第2金属窒化膜320が蒸着された後、前記第1開口220は、導電層(図7の400)に埋め立てられる。図7に示したように、前記導電層400は、前記第2金属窒化膜320上に十分な厚さを有するように形成され、前記第1開口220は、前記導電層400に埋め立てられる。一実施形態で、化学気相蒸着工程が前記導電層400を前記第2金属窒化膜320上に形成するために用いることができる。例えば、前記導電層400は、タングステン、銅、アルミニウム、またはこれらの合金で形成することができる。その後、平坦化工程が行われ、前記導電層400は、導電性プラグに機能する第1導電パターン410が形成される。特に、図8に示したように、化学機械的平坦化(CMP)工程が前記導電層400及び下部に位置する金属層に対して前記絶縁層200を露出するに足りる期間行われ、障壁金属パターン300aを形成する。前記平坦化工程が進行される期間、前記窒化第1金属層312aの上部に形成された相対的に高い濃度の窒素及び前記第2金属窒化膜320は、複合膜構造である前記障壁金属パターン(300a)が研磨工程のためのスラリー組成物によって化学的にエッチング(etch−back)されることを防止する。これによって、前記第1開口220の側壁と前記導電プラグ410との間にボイドが形成されることを防止する。
図9を参照すると、層間絶縁膜500が図8に示したような構造物を有する基板上に形成され、前記層間絶縁膜500には第2開口520が形成される。図示したように、前記第2開口520は前記導電パターン410の上部面を開放する。一実施例としては、前記第2開口520の内部に金属配線パターンのような第2導電パターン600がダマシン工程によって形成される。例えば、前記第2導電パターン600は、銅パターまたはアルミニウムパターンなどで形成することができる。
一実施形態として、図9に示したような第2導電パターン600を形成する段階は、前記第2開口520の内部に複合膜構造である障壁金属パターンを形成した後に進行することもある。特に図10に示したように、図4ないし図8を参照して説明したような段階を繰り返して前記第2開口520の側壁と下部に位置する前記第1導電パターン410の上部面に沿って複合膜構造の障壁金属パターンを形成することができる。前記複合膜構造の障壁金属パターンは、不均一窒素濃度を有し、下部に位置する窒化金属パターン612と前記窒化金属パターン612上に形成された金属窒化膜620パターンを含む。前記第2導電パターン600は、開口を更に含むことができる。以下では、開口が形成された第2導電パターン600を600’の図面符号を用いて開口の形成されていない前記第2導電パターン600と区別する。開口を具備する前記第2導電パターン600’は下部に位置する前記第1導電パターン410なしに形成することができ、メモリ装置のような多くの半導体素子に用いることができる。例えば、開口を具備する前記第2導電パターン600’は、ビットライン接続構造物、コラム選択ライン、及びその他の金属配線構造物に用いることができる。
以上、本発明の実施形態によって詳細に説明したが、本発明はこれに限定されず、本発明が属する技術分野において通常の知識を有するものであれば本発明の思想と精神を離脱することなく、本発明を修正または変更できる。
本発明は、集積回路の製造に使用することができる。
本発明の一実施形態によって集積回路を製造する方法を示すフローチャートである。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子を示す断面図である。
符号の説明
100 基板
200 第1絶縁層
220 第1開口
300a 障壁金属パターン
312 窒化第1金属層
320 第2金属窒化膜
400 第1導電膜
410 第1導電パターン
500 層間絶縁膜
520 第2開口
600 第2導電パターン
612 窒化金属パターン
620 金属窒化膜パターン

Claims (26)

  1. 第1金属層で第1絶縁層に形成された開口をライニングする段階と、
    前記開口の上側部と隣接するように延長する前記第1金属層の一部を前記開口の下側部と隣接するように延長する前記第1金属層の一部より相対的に高い窒素濃度を有する窒化第1金属層に選択的に変換する段階と、
    前記窒化第1金属層上に第2金属窒化膜を形成する段階と、
    前記第2金属窒化膜上に導電層を形成して前記開口を埋め立てる段階と、
    前記第1絶縁層が露出するように十分な期間、前記導電層を平坦化して前記開口の内部に前記第2金属窒化膜及び前記窒化第1金属層だけ離隔した導電パターンを形成する段階と、を含むことを特徴とする集積回路素子の製造方法。
  2. 前記選択的変換段階は、前記第1金属層を窒素プラズマに露出する段階を含むことを特徴とする請求項1に記載の集積回路素子の製造方法。
  3. 前記選択的変換段階は、前記第1金属層を不均一にバイアスさせかつ金属プラズマに露出して前記窒化第1金属層の窒素濃度を不均一に形成する段階を含むことを特徴とする請求項1に記載の集積回路素子の製造方法。
  4. 前記窒素プラズマは、0.1Torr〜10Torrの圧力範囲と300℃〜700℃の温度範囲で形成されることを特徴とする請求項3に記載の集積回路素子の製造方法。
  5. 前記選択的変換段階は、500℃〜950℃の温度範囲を有する窒素雰囲気下で、前記第1金属層を熱処理する段階を含むことを特徴とする請求項1に記載の集積回路素子の製造方法。
  6. 前記第2金属窒化膜を形成する段階は、前記窒化第1金属層の上部に原子層蒸着工程によって前記第2金属窒化膜を蒸着する段階を含むことを特徴とする請求項1に記載の集積回路素子の製造方法。
  7. 前記第2金属窒化膜は、30Å〜400Åの厚さまで形成され、前記第1金属層は20Å〜100Åの厚さまで形成されることを特徴とする請求項6に記載の集積回路素子の製造方法。
  8. 前記導電層を形成する段階は、タングステン、銅、及びアルミニウムからなる群より選択されたいずれかを化学気相蒸着工程を用いて蒸着する段階を含むことを特徴とする請求項1に記載の集積回路素子の製造方法。
  9. 前記第1絶縁層の前記開口を前記第1金属層でライニングする段階は、イオン化した金属プラズマ工程によって行われることを特徴とする請求項1に記載の集積回路素子の製造方法。
  10. 前記第1絶縁層の前記開口を前記第1金属層でライニングする段階は、原子層蒸着工程によって行われることを特徴とする請求項1に記載の集積回路素子の製造方法。
  11. 第1金属層で第1絶縁層に形成された開口をライニングする段階と、
    前記開口の上側部と隣接するように延長する前記第1金属層の一部を窒化第1金属層に選択的に変換する段階と、
    前記窒化第1金属層上に第2金属窒化膜を形成する段階と、
    前記第2金属窒化膜上に導電層を形成して前記開口を埋め立てる段階と、
    前記第1絶縁層が露出するように十分な期間、前記導電層を平坦化して前記開口の内部に前記第2金属窒化膜及び前記窒化第1金属層だけ離隔した導電パターンを形成する段階と、を含むことを特徴とする集積回路素子の製造方法。
  12. 前記選択的変換段階は、前記第1金属層を窒素プラズマに露出する段階を含むことを特徴とする請求項11に記載の集積回路素子の製造方法。
  13. 前記選択的変換段階は、前記第1金属層を不均一にバイアスしかつ金属プラズマに露出して前記窒化第1金属層の窒素濃度を不均一に形成する段階を含むことを特徴とする請求項11に記載の集積回路素子の製造方法。
  14. 前記窒素プラズマは、0.1Torr〜10Torrの圧力範囲と300℃〜700℃の温度範囲で形成されることを特徴とする請求項13に記載の集積回路素子の製造方法。
  15. 前記選択的変換段階は、500℃〜950℃の温度範囲を有する窒素雰囲気下で前記第1金属層を熱処理する段階を含むことを特徴とする請求項11に記載の集積回路素子の製造方法。
  16. 前記第2金属窒化膜を形成する段階は、前記窒化第1金属層の上部に原子層蒸着工程によって前記第2金属窒化膜を蒸着する段階を含むことを特徴とする請求項11に記載の集積回路素子の製造方法。
  17. 前記第2金属窒化膜は30Å〜400Åの厚さまで形成され、前記第1金属層は20Å〜100Åの厚さまで形成されることを特徴とする請求項16に記載の集積回路素子の製造方法。
  18. 前記導電層を形成する段階は、タングステン、銅、及びアルミニウムからなる群より選択されたいずれかを化学気相蒸着工程を用いて蒸着する段階を含むことを特徴とする請求項11に記載の集積回路素子の製造方法。
  19. 前記第1絶縁層の前記開口を前記第1金属層でライニングする段階は、イオン化した金属プラズマ工程によって行われることを特徴とする請求項11に記載の集積回路素子の製造方法。
  20. 前記第1絶縁層の前記開口を前記第1金属層でライニングする段階は、原子層蒸着工程によって行われることを特徴とする請求項11に記載の集積回路素子の製造方法。
  21. 開口を具備する半導体基板上に第1絶縁層を形成する段階と、
    前記開口の側壁を不均一の窒素濃度を有する窒化第1金属層でライニングする段階と、
    前記開口の内部に導電パターンを形成する段階と、
    前記導電パターンと前記窒化第1金属層との間に第2金属窒化膜を形成する段階と、を含むことを特徴とする集積回路素子の製造方法。
  22. 前記窒化第1金属層の不均一の窒素濃度は、前記側壁の下部よりは上部で相対的に大きく形成されることを特徴とする請求項21に記載の集積回路素子の製造方法。
  23. 前記第1絶縁層上に上部接続構造物を形成する段階と、
    前記基板を切断して複数の半導体チップを形成する段階と、
    前記複数のチップをパッケージングする段階と、を更に含むことを特徴とする請求項21に記載の集積回路素子の製造方法。
  24. 前記窒化第1金属層の不均一の窒素濃度は前記窒化第1金属層が前記開口の下部で小さい抵抗を有し、前記開口の上部で大きい抵抗を有するようにすることを特徴とする請求項21に記載の集積回路素子の製造方法。
  25. 半導体基板と、
    前記基板上に配置され、開口を具備する第1絶縁層と、
    前記開口の側壁に沿ってライニングされ、不均一の窒素濃度を有する窒化第1金属層と、
    前記開口に配置された導電パターンと、
    前記導電パターンと前記窒化第1金属層との間に配置された第2金属窒化膜と、を含むことを特徴とする集積回路素子。
  26. 前記窒化第1金属層の不均一の窒素濃度は、前記側壁の下部よりは上部で相対的に大きく形成されることを特徴とする請求項25に記載の集積回路素子。
JP2007318639A 2006-12-11 2007-12-10 不均一窒化金属膜を用いる電気的接続構造物の形成方法およびこの方法によって製造された接続構造物 Pending JP2008147675A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020060125310A KR100806128B1 (ko) 2006-12-11 2006-12-11 반도체 소자의 배선 구조물 및 이의 형성방법
US11/778,344 US20080136040A1 (en) 2006-12-11 2007-07-16 Methods of Forming Electrical Interconnects Using Non-Uniformly Nitrified Metal Layers and Interconnects Formed Thereby

Publications (1)

Publication Number Publication Date
JP2008147675A true JP2008147675A (ja) 2008-06-26

Family

ID=39382926

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007318639A Pending JP2008147675A (ja) 2006-12-11 2007-12-10 不均一窒化金属膜を用いる電気的接続構造物の形成方法およびこの方法によって製造された接続構造物

Country Status (3)

Country Link
US (1) US20080136040A1 (ja)
JP (1) JP2008147675A (ja)
KR (1) KR100806128B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8530349B2 (en) 2010-04-19 2013-09-10 Samsung Electronics Co., Ltd. Methods for fabricating semiconductor devices including a seed generation accelerating layer

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101928629B1 (ko) * 2016-12-01 2018-12-12 한양대학교 산학협력단 2단자 수직형 1t-디램 및 그 제조 방법
CN112105758A (zh) 2018-05-04 2020-12-18 应用材料公司 金属膜沉积
US11587796B2 (en) * 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
USRE37749E1 (en) * 1990-08-01 2002-06-18 Jaime Poris Electrodeposition apparatus with virtual anode
US5773363A (en) * 1994-11-08 1998-06-30 Micron Technology, Inc. Semiconductor processing method of making electrical contact to a node
US5712193A (en) * 1994-12-30 1998-01-27 Lucent Technologies, Inc. Method of treating metal nitride films to reduce silicon migration therein
US6017818A (en) * 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
EP0793271A3 (en) * 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
KR100274338B1 (ko) * 1997-12-31 2001-02-01 김영환 반도체소자의제조방법
US6136697A (en) * 1998-07-27 2000-10-24 Acer Semiconductor Manufacturing Inc. Void-free and volcano-free tungsten-plug for ULSI interconnection
KR20000025452A (ko) 1998-10-12 2000-05-06 윤종용 반도체 소자의 제조방법
US6245674B1 (en) * 1999-03-01 2001-06-12 Micron Technology, Inc. Method of forming a metal silicide comprising contact over a substrate
KR20010057687A (ko) 1999-12-23 2001-07-05 황인길 반도체 소자의 콘택 형성 방법
US6656831B1 (en) * 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
KR20020051151A (ko) 2000-12-22 2002-06-28 윤종용 장벽금속막을 사용하는 콘택플러그 형성방법
US6962873B1 (en) * 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
KR100466332B1 (ko) * 2002-12-14 2005-01-14 동부전자 주식회사 반도체 소자의 제조 방법
US7311946B2 (en) * 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
US20050269709A1 (en) * 2004-06-03 2005-12-08 Agere Systems Inc. Interconnect structure including tungsten nitride and a method of manufacture therefor
US7592257B2 (en) * 2007-05-14 2009-09-22 Tokyo Electron Limited Semiconductor contact structure containing an oxidation-resistant diffusion barrier and method of forming

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8530349B2 (en) 2010-04-19 2013-09-10 Samsung Electronics Co., Ltd. Methods for fabricating semiconductor devices including a seed generation accelerating layer

Also Published As

Publication number Publication date
KR100806128B1 (ko) 2008-02-22
US20080136040A1 (en) 2008-06-12

Similar Documents

Publication Publication Date Title
TWI643292B (zh) 形成金屬內連線的方法以及使用該方法製造半導體裝置的方法
TWI402887B (zh) 用以整合具有改良可靠度之超低k介電質之結構與方法
US6686662B2 (en) Semiconductor device barrier layer
US8058728B2 (en) Diffusion barrier and adhesion layer for an interconnect structure
US8372739B2 (en) Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US7727883B2 (en) Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US6472231B1 (en) Dielectric layer with treated top surface forming an etch stop layer and method of making the same
US7670946B2 (en) Methods to eliminate contact plug sidewall slit
US20070085209A1 (en) Anchored damascene structures
US9899258B1 (en) Metal liner overhang reduction and manufacturing method thereof
US20230253247A1 (en) Interconnect structure with dielectric cap layer and etch stop layer stack
US20240071815A1 (en) Method for forming interconnect structure
JP2008147675A (ja) 不均一窒化金属膜を用いる電気的接続構造物の形成方法およびこの方法によって製造された接続構造物
US20040152336A1 (en) Semiconductor device and its manufacturing method
KR100914982B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
JP2005005383A (ja) 半導体装置および半導体装置の製造方法
KR100376873B1 (ko) 반도체 장치의 배선 및 배선 연결부와 그 제조방법
KR100399909B1 (ko) 반도체 소자의 층간 절연막 형성 방법
JP2006319116A (ja) 半導体装置およびその製造方法
US8742587B1 (en) Metal interconnection structure
KR100909176B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR102403920B1 (ko) 구리 확산을 저감시키기 위한 비정질층 및 이것을 형성하는 방법
TWI806489B (zh) 半導體裝置
JP2009130211A (ja) 半導体装置及びその製造方法
JP2009117673A (ja) 半導体装置およびその製造方法