JP2007528593A - High performance and stress enhanced MOSFETs using Si: C and SiGe epitaxially grown sources / drains and fabrication methods - Google Patents

High performance and stress enhanced MOSFETs using Si: C and SiGe epitaxially grown sources / drains and fabrication methods Download PDF

Info

Publication number
JP2007528593A
JP2007528593A JP2006536715A JP2006536715A JP2007528593A JP 2007528593 A JP2007528593 A JP 2007528593A JP 2006536715 A JP2006536715 A JP 2006536715A JP 2006536715 A JP2006536715 A JP 2006536715A JP 2007528593 A JP2007528593 A JP 2007528593A
Authority
JP
Japan
Prior art keywords
pfet
channel
nfet
material layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006536715A
Other languages
Japanese (ja)
Other versions
JP2007528593A5 (en
Inventor
チダムバーラオ、ドゥレセティ
ドクマシ、オマー
チェン、フアジ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007528593A publication Critical patent/JP2007528593A/en
Publication of JP2007528593A5 publication Critical patent/JP2007528593A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】 半導体デバイスおよび半導体デバイスの製造方法を提供する。
【解決手段】 半導体デバイスはpFETおよびnFETのためのチャネルを含む。SiGe層はpFETチャネルのソースおよびドレイン領域に選択的に成長され、Si:C層はnFETチャネルのソースおよびドレイン領域に選択的に成長される。SiGe層およびSi:C層は、下に位置するSi層の格子ネットワークに一致して応力成分を生成する。1つの実施形態では、これによって、pFETチャネルでは圧縮成分が引き起こされ、nFETでは引張成分が引き起こされる。
【選択図】 図5
PROBLEM TO BE SOLVED: To provide a semiconductor device and a method for manufacturing the semiconductor device.
A semiconductor device includes channels for pFETs and nFETs. A SiGe layer is selectively grown in the source and drain regions of the pFET channel, and a Si: C layer is selectively grown in the source and drain regions of the nFET channel. The SiGe layer and the Si: C layer generate stress components in accordance with the lattice network of the underlying Si layer. In one embodiment, this causes a compressive component in the pFET channel and a tensile component in the nFET.
[Selection] Figure 5

Description

本発明は、一般に半導体デバイスおよび製造方法に関し、より詳細には半導体デバイスおよびデバイス製造中に引張および圧縮応力を課する製造方法に関する。   The present invention relates generally to semiconductor devices and manufacturing methods, and more particularly to semiconductor devices and manufacturing methods that impose tensile and compressive stresses during device manufacturing.

半導体デバイス基板内の機械的応力は、デバイス性能を変化させることができる。すなわち、半導体デバイス内の応力は、半導体デバイス特性を向上させることが知られている。したがって、半導体デバイスの特性を改善するために、引張または圧縮応力あるいはその両方は、n型デバイス(例えば、NFET)またはp型デバイス(例えば、PFET)あるいはその両方のチャネル内で生成される。しかし、同じ応力成分、引張応力または圧縮応力は、差別的にn型デバイスおよびp型デバイスの特性に影響する。   Mechanical stress in the semiconductor device substrate can change device performance. That is, it is known that stress in a semiconductor device improves semiconductor device characteristics. Thus, to improve the characteristics of the semiconductor device, tensile and / or compressive stresses are generated in the channel of the n-type device (eg, NFET) and / or the p-type device (eg, PFET). However, the same stress component, tensile stress or compressive stress differentially affects the characteristics of n-type and p-type devices.

例えば、シリコン基板の上に緩和した(relaxed)別のエピタキシャル成長されたSiGe層上でエピタキシャル成長されたシリコン層(またはキャップ)上に形成された時、デバイスがより良好な性能特性を示すことが知られている。この系では、シリコン・キャップ(cap)は2軸引張ひずみに直面する。シリコン上にエピタキシャル成長される場合、非緩和SiGe層は、シリコン基板に一致する格子定数を有する。SiGe格子定数は、緩和(例えば、高温プロセスを介して)に基づいてシリコンより大きい固有の格子定数に近づく。完全に緩和したSiGe層は、その固有の値に近い格子定数を有する。シリコン層がその上にエピタキシャル成長される場合、シリコン層は、緩和したSiGe層のより大きな格子定数に一致し、これは、その上に形成されたシリコン層に物理的な2軸応力(例えば、膨張)を加える。膨張したシリコン層はN型デバイスの性能を増大し、SiGe層中のより高いGe濃度がp型デバイス性能を向上するので、シリコン層に加えられたこの物理的応力は、その上に形成されたデバイス(例えば、CMOSデバイス)に有益である。   For example, devices are known to exhibit better performance characteristics when formed on a silicon layer (or cap) epitaxially grown on another epitaxially grown SiGe layer relaxed on a silicon substrate. ing. In this system, the silicon cap faces a biaxial tensile strain. When epitaxially grown on silicon, the unrelaxed SiGe layer has a lattice constant that matches the silicon substrate. The SiGe lattice constant approaches an intrinsic lattice constant larger than that of silicon based on relaxation (eg, via a high temperature process). A fully relaxed SiGe layer has a lattice constant close to its intrinsic value. When a silicon layer is epitaxially grown thereon, the silicon layer matches the larger lattice constant of the relaxed SiGe layer, which is a physical biaxial stress (eg, expansion) on the silicon layer formed thereon. ). This physical stress applied to the silicon layer was formed on it because the expanded silicon layer increased the performance of the N-type device and the higher Ge concentration in the SiGe layer improved the p-type device performance. Useful for devices (eg, CMOS devices).

シリコン基板上のSiGe中の緩和は、不整合転位(misfit dislocation)の形成によって生じる。完全に緩和した基板について、応力を取り除く等間隔の不整合転位の格子(grid)を想像することができる。不整合転位は基板中のシリコンの余分な半平面を提供することにより、SiGe層中の格子定数がその固有値を求めのを促進する。次いで、SiGe/シリコン界面の全体にわたって不整合ひずみがもたらされ、SiGe格子定数はより大きくなることが可能となる。
Ernstら、VLSI Symp.(2002年)p.92
Relaxation in SiGe on a silicon substrate is caused by the formation of misfit dislocations. For a fully relaxed substrate, an equidistant misfit dislocation grid can be imagined that removes stress. Misfit dislocations provide an extra half-plane of silicon in the substrate, facilitating the lattice constant in the SiGe layer to determine its eigenvalue. Then, mismatch strain is introduced across the SiGe / silicon interface, allowing the SiGe lattice constant to be larger.
Ernst et al., VLSI Symp. (2002) p. 92

しかし、この従来のアプローチに関する問題は、SiGe層とシリコン基板層との間の貫通転位(threading dislocation)を避けながら、その表面部分上の不整合転位を達成するために非常に厚い(例えば、約5000Å〜15000Åの厚さ)多層SiGe緩衝層を必要とし、それによって多層SiGe層の表面上に緩和SiGe構造を達成することである。また、このアプローチは、製造時間およびコストを著しく増加させる。さらに、厚い段階的(graded)なSiGe緩衝層は、シリコン・オン・インシュレータ(SOI)基板に適用するのが容易ではない。これは、シリコン・オン・インシュレータについて、有効なSOIのためにシリコンの厚さが1500Å以下である必要があるからである。SiGe緩衝層の構造は厚すぎる。   However, the problems with this conventional approach are very thick (e.g., approximately about to achieve misfit dislocations on its surface portion while avoiding threading dislocation between the SiGe layer and the silicon substrate layer). Is required to have a multilayer SiGe buffer layer, thereby achieving a relaxed SiGe structure on the surface of the multilayer SiGe layer. This approach also significantly increases manufacturing time and cost. Furthermore, a thick graded SiGe buffer layer is not easy to apply to a silicon-on-insulator (SOI) substrate. This is because for silicon-on-insulators, the silicon thickness needs to be less than 1500 mm for effective SOI. The structure of the SiGe buffer layer is too thick.

別の問題は、SiGe層とシリコン・エピタキシャル層との間に形成された不整合転位が、ふぞろい(ランダム)で、非常に不均一であり、制御するのが困難な異種核生成(heterogeneous nucleation)により容易にコントロールすることができないということである。また、不整合転位密度は、ある場所と別の場所とでは著しく異なる。このように、不均一の不整合転位に由来する物理的応力は、シリコン・エピタキシャル層で非常に不均一になる傾向があり、この不均一な応力は、より大きな変動性で、性能のための利点が不均一となる。さらに、不整合密度が高い位置では、短絡デバイス端子、および他の重要な漏れ機構(leakage mechanism)を介して欠陥がデバイスの性能を下げる。   Another problem is that the misfit dislocations formed between the SiGe layer and the silicon epitaxial layer are random, very heterogeneous and difficult to control heterogeneous nucleation. It cannot be controlled more easily. Also, the misfit dislocation density is significantly different from one place to another. Thus, the physical stresses resulting from non-uniform misfit dislocations tend to be very non-uniform in the silicon epitaxial layer, and this non-uniform stress has greater variability and is The benefits are non-uniform. In addition, at locations with high mismatch density, defects can degrade device performance through shorted device terminals and other important leakage mechanisms.

また、本質的に引張りであるSi上にSi:Cをエピタキシャル成長することが知られている。Si:C/Si材料スタック中の1%のC含有量は、500MPaで、Si:C中に引張応力レベルを引き起こす場合がある。これに対して、SiGe/Si系では、約6%のGeは、500MPaの圧縮を引き起こす必要がある。この1%のCのレベルは、ErnstらのVLSI Symp(2002年)、92頁で示されるように、エピタキシャル成長中にSiに組み込むことができる。Ernstらでは、nFETのためのSi/Si:C/Si階層状チャネルが示される。しかし、Ernstらの構造では、Si:Cは、チャネル内のスタック層として、従来のひずみSiアプローチで提供されている。このように、Ernstらの構造では、Si:Cは、チャネルそれ自体の一部として使用される。このアプローチに関する問題は移動度(mobility)が向上されずに、散乱(scattering)からC含有量に依存して抑制されることである。   It is also known to epitaxially grow Si: C on Si that is essentially tensile. The 1% C content in the Si: C / Si material stack is 500 MPa and can cause tensile stress levels in Si: C. In contrast, in the SiGe / Si system, about 6% Ge needs to cause a compression of 500 MPa. This 1% C level can be incorporated into Si during epitaxial growth, as shown in Ernst et al., VLSI Symp (2002), page 92. Ernst et al. Show Si / Si: C / Si hierarchical channels for nFETs. However, in the structure of Ernst et al., Si: C is provided with a conventional strained Si approach as a stack layer in the channel. Thus, in the Ernst et al. Structure, Si: C is used as part of the channel itself. The problem with this approach is that the mobility is not improved and is suppressed from scattering depending on the C content.

本発明の第1の態様では、半導体構造を製造する方法は、基板中にp型電界効果トランジスタ(pFET)チャネルおよびn型電界効果トランジスタ(nFET)チャネルを形成するステップを含む。pFETスタックおよびnFETスタックは、それぞれのチャネルに関連して基板上に形成される。第1の材料層はpFETスタックに隣接して(about)ソース/ドレイン領域に準備される。第1の材料層は基板の基礎(base)格子定数と異なる格子定数を有し、pFETチャネル内で圧縮状態を生成する。第2の材料層はnFETスタックに隣接してしてソース/ドレイン領域に準備される。第2の材料層は基板の基礎格子定数と異なる格子定数を有し、nFETチャネルで引張状態を生成する。   In a first aspect of the invention, a method of manufacturing a semiconductor structure includes forming a p-type field effect transistor (pFET) channel and an n-type field effect transistor (nFET) channel in a substrate. A pFET stack and an nFET stack are formed on the substrate in association with each channel. A first material layer is provided in the source / drain region about the pFET stack. The first material layer has a lattice constant that is different from the base lattice constant of the substrate and creates a compressed state in the pFET channel. A second material layer is provided in the source / drain regions adjacent to the nFET stack. The second material layer has a lattice constant that is different from the basic lattice constant of the substrate and creates a tensile state in the nFET channel.

本発明の他の態様では、基板内にp型電界効果トランジスタ(pFET)チャネルおよびn型電界効果トランジスタ(nFET)チャネルを形成するステップを含む半導体構造を製造する方法が提供される。pFET構造およびnFET構造はpFETチャネルおよびnFETチャネルに隣接する基板上にそれぞれ形成される。pFET構造およびnFET構造の領域は、所定深さにエッチングされる。基板(層)の基礎格子定数と異なる格子定数を有する第1の材料はpFET構造のエッチング領域に準備され、pFETチャネル内に圧縮応力を付与する。基板(層)の基礎格子定数と異なる格子定数を有する第2の材料はnFET構造のエッチングされた領域に準備され、nFETチャネル内に引張応力を付与する。nFETおよびpFET構造のドーピングされたソースおよびドレイン領域が準備される。   In another aspect of the present invention, a method of manufacturing a semiconductor structure is provided that includes forming a p-type field effect transistor (pFET) channel and an n-type field effect transistor (nFET) channel in a substrate. A pFET structure and an nFET structure are formed on the substrate adjacent to the pFET channel and the nFET channel, respectively. The regions of the pFET structure and the nFET structure are etched to a predetermined depth. A first material having a lattice constant different from the basic lattice constant of the substrate (layer) is provided in the etched region of the pFET structure and applies compressive stress in the pFET channel. A second material having a lattice constant different from the basic lattice constant of the substrate (layer) is provided in the etched region of the nFET structure and imparts tensile stress in the nFET channel. Doped source and drain regions of nFET and pFET structures are prepared.

また、本発明の他の態様では、半導体構造は半導体基板を含み、pFETおよびnFETは基板内のそれぞれのチャネルに形成される。pFETチャネルのソースおよびドレイン領域の第1の材料層は基板の格子定数と異なる格子定数を有する。nFETチャネルのソースおよびドレイン領域の第2の材料層は基板の格子定数と異なる格子定数を有する。   In another aspect of the invention, the semiconductor structure includes a semiconductor substrate, and the pFET and nFET are formed in respective channels in the substrate. The first material layer in the source and drain regions of the pFET channel has a lattice constant different from that of the substrate. The second material layer in the source and drain regions of the nFET channel has a lattice constant that is different from the lattice constant of the substrate.

本発明は、半導体デバイス、およびCMOSデバイスのnFETチャネル付近に引張応力およびpFETチャネル付近に圧縮応力を付与する製造方法に関する。本発明の一実施形態では、縦引張応力はnFETチャネルに非常に接近してもたらされ、同時に、圧縮応力はpFETチャネルに非常に接近してもたらされる。さらに、本発明では、SiGeおよびSi:C材料の両方をCMOS技術に統合するため、方法および構造が提供される。   The present invention relates to a semiconductor device and a manufacturing method for applying a tensile stress near an nFET channel and a compressive stress near a pFET channel in a CMOS device. In one embodiment of the invention, the longitudinal tensile stress is brought very close to the nFET channel, while the compressive stress is brought very close to the pFET channel. Furthermore, the present invention provides methods and structures for integrating both SiGe and Si: C materials into CMOS technology.

一例として、高引張りのSi:C膜がソース/ドレイン(S/D)領域でシリコン基板内に準備されて(例えば、埋め込まれる)、ゲート領域下のチャネル内の、nFET構造上に縦方向に引張りを加える。同様に、高圧縮のSiGe膜はS/D領域のシリコン基板内に準備されて(例えば、埋め込まれる)、ゲート領域下のチャネル内のpFET上に縦に圧縮を加える。SiGe層に類似して、Si:C層は比較的薄く(その臨界厚さ以下)、緩和されていない。nFETのトランジスタ・チャネル領域はSi:C層からの応力によってひずみ、一方、pFETのチャネル領域はSiGeからの圧縮応力を付与される。   As an example, a high tensile Si: C film is prepared (eg, embedded) in the silicon substrate in the source / drain (S / D) region and vertically on the nFET structure in the channel under the gate region. Apply tension. Similarly, a highly compressed SiGe film is prepared (eg, embedded) in the silicon substrate in the S / D region and vertically compressed on the pFET in the channel under the gate region. Similar to the SiGe layer, the Si: C layer is relatively thin (below its critical thickness) and is not relaxed. The nFET transistor channel region is strained by stress from the Si: C layer, while the pFET channel region is subjected to compressive stress from SiGe.

SiGe層がpFETのS/D領域に埋め込まれているので、まだ低抵抗のシリサイド(silicide)を形成することができる。興味深いことに、埋め込まれた(例えば、サブ表面または共面(コプラナー)表面)Si:C膜は膜表面が自由でないので、上記表面Si:C対応物(counterpart)より大きな応力を加えることができる。本発明では、Si:Cの異なる厚みおよび突出部(protrusion)は埋め込まれるか、表面と共面(同一平面)か、または隆起されるかどうかが検討される。SiGe層内のGe含有量の濃度を調節することによってpFETチャネルでの圧縮応力を調節することができることが理解される。同様に、Si:C層内のC濃度を調節することにより、nFETチャネルの引張応力を調節することができる。これは、そのような材料の格子定数による。   Since the SiGe layer is embedded in the S / D region of the pFET, a low resistance silicide can still be formed. Interestingly, since embedded (eg, sub-surface or coplanar surface) Si: C films are not free on the film surface, they can be more stressed than the surface Si: C counterpart. . The present invention contemplates whether different Si: C thicknesses and protrusion are embedded, coplanar (coplanar) with the surface, or raised. It is understood that the compressive stress in the pFET channel can be adjusted by adjusting the concentration of Ge content in the SiGe layer. Similarly, the tensile stress of the nFET channel can be adjusted by adjusting the C concentration in the Si: C layer. This is due to the lattice constant of such materials.

本発明では、Si:Cは、正確な応力を有し、正確なC含有量を含み、エピタキシャルにおよび選択的に成長させることができることを示す。また、本発明では、Si:Cはチャネル下に直接形成された積層としてではなく、張力が働き、それによってチャネル領域に張力をかけるnFET S/D領域のための置換材料として使用される。したがって、このSi:C膜は、SiGeがpFETチャネルに圧縮応力を加える一方、nFETチャネルに引張応力を加える。   The present invention shows that Si: C has the correct stress, contains the correct C content, and can be grown epitaxially and selectively. Also, in the present invention, Si: C is not used as a stack formed directly under the channel, but as a replacement material for the nFET S / D region where tension acts and thereby tensions the channel region. Thus, this Si: C film applies compressive stress to the pFET channel while SiGe applies tensile stress to the nFET channel.

図1〜図5は、本発明によるデバイスを形成するための製造プロセスを表わす。図1では、シリコン・オン・インシュレータ(SOI)20またはその種の他のものが準備される。層20は、浅いトレンチ分離(STI:shallow trench isolation)の構造体(STI)25を形成するために、例えば、パッド酸化、パッド窒化物堆積、リソグラフィーに基づくパターニング、窒化物、酸化物および埋込酸化物にいたるシリコンからなるスタック(積層)の反応性イオンエッチング(RIE)、エッジ酸化、ライナー(liner)堆積、充填(fill)堆積および化学機械的研磨の標準的技法を使用して、パターン化される。STI形成プロセスは、本技術分野において公知である。次いで、パッド窒化物を取り除く。例えば、ゲート誘電体およびポリシリコンを含むゲートスタックを任意の公知の方法で基板上に形成して、pFETおよびnFETを形成する。TEOSキャップ43、44は、公知の方法によりpPETおよびnFETで形成される。   1-5 represent a manufacturing process for forming a device according to the present invention. In FIG. 1, a silicon-on-insulator (SOI) 20 or the like is provided. Layer 20 may be formed, for example, by pad oxidation, pad nitride deposition, lithographic patterning, nitride, oxide and buried to form a shallow trench isolation (STI) structure (STI) 25. Pattern stacks of silicon to oxide using standard techniques of reactive ion etching (RIE), edge oxidation, liner deposition, fill deposition and chemical mechanical polishing Is done. STI formation processes are known in the art. The pad nitride is then removed. For example, a gate stack including a gate dielectric and polysilicon is formed on the substrate by any known method to form pFETs and nFETs. The TEOS caps 43 and 44 are formed of pPET and nFET by a known method.

また、図1を参照すると、スペーサは任意の公知のプロセスを使用して、それぞれpFETおよびnFETスタック上に形成される。一例として、スペーサ38はpFETスタック40aの側壁上に形成され、スペーサ42はnFETスタック45aの側壁上で形成される。スペーサは、例えば、酸化物または窒化物のスペーサであってもよい。   Referring also to FIG. 1, the spacers are formed on the pFET and nFET stacks, respectively, using any known process. As an example, spacer 38 is formed on the sidewall of pFET stack 40a and spacer 42 is formed on the sidewall of nFET stack 45a. The spacer may be, for example, an oxide or nitride spacer.

図2で、薄いライナー50は、例えば、pFETスタック、nFETスタックおよびS/D領域を含む構造を覆って堆積されるブランケット(blanket)である。一実施形態では、薄いライナー50は、Siライナーまたはハード・マスクの材料に依存する窒化物または酸化物系材料のいずれかである。薄いライナーの厚みはおよそ5〜20nmである。薄いライナー50は保護層の役割をしてもよい。ハード・マスク51は、次いで、nFETスタック45aおよびS/D領域を覆って形成される。 In FIG. 2, the thin liner 50 is a blanket that is deposited over a structure including, for example, a pFET stack, an nFET stack, and an S / D region. In one embodiment, the thin liner 50 is either a Si 3 N 4 liner or a nitride or oxide based material depending on the material of the hard mask. The thickness of the thin liner is approximately 5-20 nm. The thin liner 50 may serve as a protective layer. A hard mask 51 is then formed over the nFET stack 45a and the S / D region.

pFETスタック40aに関する領域はライナー50にエッチングされる。次いで、ライナー50がエッチングされ、S/D領域52がスタック40aに隣接して形成(エッチング)される。S/D領域52の深さは、SOI層の厚さに依存し約20〜100nmである。高圧縮の選択的エピタキシャルSiGe層60は、図3で示されるように、pFETスタック40aの領域52内において成長され、S/Dエッチング領域52を完全に充填する。SiGe層60は約10〜100nmの厚みに成長されてもよく、他の厚みも本発明によって検討される。1つの実施形態では、SiGe層はゲート酸化物の表面上にある厚さに成長される。ライナーのハード・マスクおよび残りの部分は、例えば、ウェット化学薬品などの公知のプロセスを使用して取り除かれる。処理ステップにおいて、ハード・マスクを除去する前に、ドーパントがイオン注入され、pFETスタック40aに隣接するS/D領域を形成する。   The area for the pFET stack 40a is etched into the liner 50. The liner 50 is then etched, and the S / D region 52 is formed (etched) adjacent to the stack 40a. The depth of the S / D region 52 is approximately 20 to 100 nm depending on the thickness of the SOI layer. A highly compressed selective epitaxial SiGe layer 60 is grown in the region 52 of the pFET stack 40a, as shown in FIG. 3, to completely fill the S / D etched region 52. The SiGe layer 60 may be grown to a thickness of about 10-100 nm, and other thicknesses are contemplated by the present invention. In one embodiment, the SiGe layer is grown to a thickness on the surface of the gate oxide. The liner hard mask and the remainder are removed using known processes such as, for example, wet chemicals. In the processing step, before removing the hard mask, dopant is ion implanted to form the S / D region adjacent to the pFET stack 40a.

SiGeは単独で、通常SOIより大きな格子定数を有する。すなわち、SiGe材料の格子定数はSiの格子定数と一致しない。しかし、本発明の構造では、SiGe層の成長によりSiGe層の格子構造は下に位置するSiの格子構造と一致する傾向がある。これによって、SiGe層およびSiGeに隣接するチャネル領域が圧縮下となる。一実施形態では、SiGe層のGe含有量はSi含有量に対して0%より多い高い比率であってもよい。   SiGe alone has a larger lattice constant than normal SOI. That is, the lattice constant of the SiGe material does not match the lattice constant of Si. However, in the structure of the present invention, the lattice structure of the SiGe layer tends to coincide with the underlying lattice structure of Si due to the growth of the SiGe layer. As a result, the SiGe layer and the channel region adjacent to the SiGe are under compression. In one embodiment, the Ge content of the SiGe layer may be a high ratio greater than 0% with respect to the Si content.

図4では、本発明の処理を参照して、例えば、薄いライナー50は、再度nFET、pFETおよびS/D領域を含む構造を覆って堆積されるブランケットである。薄いライナー50は、一実施形態において、Siライナー、またはハード・マスクの材料に依存する窒化物または酸化物材料のいずれかである。薄いライナーの厚みの範囲はおよそ5〜20nmである。薄いライナー50は、保護層の役割をしてもよい。 In FIG. 4, referring to the process of the present invention, for example, the thin liner 50 is a blanket that is again deposited over the structure including the nFET, pFET, and S / D regions. The thin liner 50 is in one embodiment either a Si 3 N 4 liner or a nitride or oxide material depending on the hard mask material. The thickness range of the thin liner is approximately 5-20 nm. The thin liner 50 may serve as a protective layer.

次いで、マスク51はpFETスタック40aを覆って形成され、nFETスタック45aに隣接する領域はライナー50までエッチングされる。次いで、ライナーはエッチングされ、S/D領域54は、前記スタック45aに隣接して形成(エッチング)される。S/D領域54の深さはSOI層の厚さに依存して約20〜100nmである。任意の公知のプロセスが領域54をエッチングするために使用されてもよい。   A mask 51 is then formed over the pFET stack 40a and the area adjacent to the nFET stack 45a is etched down to the liner 50. The liner is then etched and the S / D region 54 is formed (etched) adjacent to the stack 45a. The depth of the S / D region 54 is about 20 to 100 nm depending on the thickness of the SOI layer. Any known process may be used to etch region 54.

高引張りの選択的エピタキシャルSi:C層は、図5に示されるように、nFETスタック45aの領域54において約10〜100nmの厚さに成長される。Si:C層62は、本発明によって検討されるように、他の厚さにエピタキシャル成長されてもよいことが理解される。一実施形態では、C含有量は、Si含有量に対する比率で0%を超え4%までであってもよい。薄いライナーのレジストおよび残りの部分は、任意の公知のプロセスの使用、例えば、ウェット化学薬品を使用することにより取り除かれる。   A high tensile selective epitaxial Si: C layer is grown to a thickness of about 10-100 nm in region 54 of nFET stack 45a, as shown in FIG. It will be appreciated that the Si: C layer 62 may be epitaxially grown to other thicknesses as contemplated by the present invention. In one embodiment, the C content may be greater than 0% and up to 4% in proportion to the Si content. The thin liner resist and remaining portions are removed by use of any known process, eg, using wet chemicals.

Si:Cは単独で、通常下に位置するSiより小さな格子定数を有する。すなわち、Si:C材料の格子定数はSiの格子定数と一致しない。しかし、本発明の構造では、nFETスタック45aのS/D領域内のSi:C層の成長によって、Si:C層の格子構造は下に位置するSiの格子構造と一致する傾向がある。これによって、Si:C層およびSi:Cに隣接するチャネル領域が引張応力下となる。   Si: C alone has a smaller lattice constant than Si, which is usually located below. That is, the lattice constant of the Si: C material does not match the lattice constant of Si. However, in the structure of the present invention, due to the growth of the Si: C layer in the S / D region of the nFET stack 45a, the lattice structure of the Si: C layer tends to match the underlying lattice structure of Si. This places the Si: C layer and the channel region adjacent to Si: C under tensile stress.

一実施形態では、Si:C層またはSiGe層もしくはその両方は、そのような層に陥凹部を形成するためにプロセスを最適化して、例えばRIE、ウェットエッチングまたは他のプロセスまたはそれらの組み合わせを行なうことにより、デバイス(基板)内に埋め込まれてもよい。次いで、Siは、任意の公知のプロセスを使用して領域52、54を覆って選択的に成長される。次いで、ソース及びドレインの注入は、任意の公知のプロセスを使用しても行うことができる。デバイスおよび相互接続(interconnect)を構築するためにさらなる処理を行なうことができる。   In one embodiment, the Si: C layer or SiGe layer or both optimize the process to form a recess in such a layer, eg, perform RIE, wet etching or other processes or combinations thereof Thus, it may be embedded in the device (substrate). Si is then selectively grown over regions 52, 54 using any known process. The source and drain implants can then be performed using any known process. Further processing can be performed to build the device and interconnect.

理解されるように、Si:CおよびSiGe層の両方は、デバイス内に埋め込まれてもよく、または共面(同一平面)とすることができ、またはデバイスから隆起させることができる。一実施形態では、Si:CおよびSiGe膜は、10〜100nmの厚みに堆積され、MOSFETに応力(圧縮または引張)を加えるためによりコスト的に有効な方法をもたらす。隆起された実施の形態では、Si:CおよびSiGe層は約50nmまでデバイスの表面上に隆起されてもよい。他の厚みも本発明によって検討されることが認識される。   As will be appreciated, both the Si: C and SiGe layers may be embedded within the device, or may be coplanar (coplanar) or raised from the device. In one embodiment, Si: C and SiGe films are deposited to a thickness of 10-100 nm, resulting in a more cost effective way to apply stress (compression or tension) to the MOSFET. In raised embodiments, Si: C and SiGe layers may be raised on the surface of the device to about 50 nm. It will be appreciated that other thicknesses are contemplated by the present invention.

また、P型ドーピングでSiGeを、n型ドーピングでSi:Cを、そのままドーピングし、pFETおよびnFETの所望のソースおよびドレイン領域をそれぞれ形成することも可能である。   It is also possible to form SiGe with P-type doping and Si: C with n-type doping as they are to form desired source and drain regions of pFET and nFET, respectively.

図2および3に示すプロセス工程に先立って、図4および5のプロセス工程が同等に行われても良いことは当業者によって理解される。またさらに、例えば、標準のイオン注入などのプロセス工程を行ってpFETおよびnFETのS/D領域を形成することができる。イオン注入を通して、このプロセス中にマスクの役割をするnFETおよびpFET領域内のゲート酸化物によってS/D領域の形成は自己整合される。   It will be appreciated by those skilled in the art that prior to the process steps shown in FIGS. 2 and 3, the process steps of FIGS. 4 and 5 may be performed equally. Still further, for example, process steps such as standard ion implantation can be performed to form pFET and nFET S / D regions. Through ion implantation, the formation of the S / D region is self-aligned by the gate oxide in the nFET and pFET regions that act as a mask during this process.

図6は、本発明によるpFETデバイス中の応力の位置を示す。図6に示すように、圧縮応力は、非緩和SiGeの領域を備えたpFETの真下に存在する。より詳細には、本発明の構造ではSiGe層の格子構造は下に位置するSi絶縁層の格子構造と一致する。これにより、SiGe層および周辺領域が圧縮応力下となる。   FIG. 6 shows the location of stress in a pFET device according to the present invention. As shown in FIG. 6, the compressive stress exists directly under the pFET with the unrelaxed SiGe region. More specifically, in the structure of the present invention, the lattice structure of the SiGe layer coincides with the lattice structure of the underlying Si insulating layer. Thereby, the SiGe layer and the peripheral region are under compressive stress.

図7は、本発明によるnFETデバイス中の応力の位置を示す。図7に示されるように、引張応力はnFETのチャネル内に存在する。より詳細には、本発明の構造で、Si:C層の格子構造は下に位置するSi絶縁層20の格子構造と一致し、nFETチャネル中で引張応力成分を形成する。   FIG. 7 shows the location of stress in an nFET device according to the present invention. As shown in FIG. 7, tensile stress is present in the channel of the nFET. More specifically, in the structure of the present invention, the lattice structure of the Si: C layer coincides with the lattice structure of the underlying Si insulating layer 20 and forms a tensile stress component in the nFET channel.

本発明は、実施の形態に関して記述されているが、当業者は、本発明を変形させ、請求項の精神および範囲内で実行することができることがわかるだろう。例えば、本発明は、容易にバルク基板に適用することができる。   While the invention has been described in terms of embodiments, those skilled in the art will recognize that the invention can be modified and practiced within the spirit and scope of the claims. For example, the present invention can be easily applied to a bulk substrate.

本発明によるデバイスを形成するための製造プロセスを示す図である。FIG. 5 shows a manufacturing process for forming a device according to the invention. 本発明によるデバイスを形成するための製造プロセスを示す図である。FIG. 5 shows a manufacturing process for forming a device according to the invention. 本発明によるデバイスを形成するための製造プロセスを示す図である。FIG. 5 shows a manufacturing process for forming a device according to the invention. 本発明によるデバイスを形成するための製造プロセスを示す図である。FIG. 5 shows a manufacturing process for forming a device according to the invention. 本発明によるデバイスを形成するための製造プロセスを示す図である。FIG. 5 shows a manufacturing process for forming a device according to the invention. 本発明によるpFETデバイスでの応力の位置を示す図である。FIG. 4 shows the position of stress in a pFET device according to the present invention. 本発明によるnFETデバイスでの応力の位置を示す図である。FIG. 4 is a diagram showing the position of stress in an nFET device according to the present invention.

Claims (21)

p型電界効果トランジスタ(pFET)チャネルおよびn型電界効果トランジスタ(nFET)チャネルを基板内に形成するステップと、
前記pFETチャネルにpFETスタックを、前記nFETチャネルにnFETスタックを形成するステップと、
前記pFETスタックに関連するソース/ドレイン領域に、前記基板の基礎格子定数と異なる格子定数を有する第1の材料層を準備して、前記pFETチャネル内で圧縮状態を生成するステップと、
前記nFETスタックに関連するソース/ドレイン領域に、前記基板の基礎格子定数と異なる格子定数を有する第2の材料層を準備して、前記nFETチャネルで、引張状態を生成するステップとを含む、半導体構造の製造方法。
forming a p-type field effect transistor (pFET) channel and an n-type field effect transistor (nFET) channel in the substrate;
Forming a pFET stack in the pFET channel and an nFET stack in the nFET channel;
Providing a first material layer having a lattice constant different from a basic lattice constant of the substrate in a source / drain region associated with the pFET stack to generate a compressed state in the pFET channel;
Providing a second material layer having a lattice constant different from a base lattice constant of the substrate in a source / drain region associated with the nFET stack to generate a tensile state in the nFET channel. Structure manufacturing method.
前記第1の材料層は、Siに対する比率で約0%を超えるGeの含有量を有するSiGeである請求項1に記載の方法。 The method of claim 1, wherein the first material layer is SiGe having a Ge content greater than about 0% as a percentage of Si. 前記第2の材料層は、Si:Cである、請求項1に記載の方法。 The method of claim 1, wherein the second material layer is Si: C. 前記Si:Cは、約4%以下のC含有量を有する、請求項3に記載の方法。 4. The method of claim 3, wherein the Si: C has a C content of about 4% or less. 前記第1の材料層は、非緩和SiGeであり、前記第2の材料層は、非緩和Si:Cであり、約10〜100nmの厚みに形成される、請求項1に記載の方法。 The method of claim 1, wherein the first material layer is non-relaxed SiGe and the second material layer is non-relaxed Si: C and is formed to a thickness of about 10-100 nm. 前記第1の材料層は、前記nFETチャネル上にマスクを配置し、前記pFETスタックの領域をエッチングし、前記pFETチャネルの領域内の前記第1の材料層を選択的に成長させることにより形成され、
前記第2の材料層は、前記pFETチャネル上にマスクを配置し、前記nFETスタックの領域をエッチングし、前記nFETチャネルの領域内の前記第2の材料層を選択的に成長させることによって形成される、請求項1に記載の方法。
The first material layer is formed by placing a mask over the nFET channel, etching a region of the pFET stack, and selectively growing the first material layer in the region of the pFET channel. ,
The second material layer is formed by placing a mask over the pFET channel, etching a region of the nFET stack, and selectively growing the second material layer in the region of the nFET channel. The method of claim 1.
前記pFETスタックの領域のエッチングに先立って、前記マスク下で前記pFETスタックを覆って保護層を準備し、前記第1の材料層を選択的に成長させるステップと、
前記pFETスタックの領域のエッチングに先立って、前記マスク下で前記nFETスタックを覆って保護層を準備し、前記第2の材料層を選択的に成長させるステップとをさらに含む、請求項6に記載の方法。
Prior to etching a region of the pFET stack, providing a protective layer over the pFET stack under the mask and selectively growing the first material layer;
7. The method of claim 6, further comprising: providing a protective layer over the nFET stack under the mask and selectively growing the second material layer prior to etching the region of the pFET stack. the method of.
前記第1の材料層および前記第2の材料層は、厚さ約10〜100nmに成長されている、請求項1に記載の方法。 The method of claim 1, wherein the first material layer and the second material layer are grown to a thickness of about 10-100 nm. 前記第1の材料層および前記第2の材料層は、前記基板に埋め込まれている、請求項1に記載の方法。 The method of claim 1, wherein the first material layer and the second material layer are embedded in the substrate. p型電界効果トランジスタ(pFET)チャネルおよびn型電界効果トランジスタ(nFET)チャネルを基板内に形成するステップと、
前記pFETチャネルおよび前記nFETチャネルのそれぞれに関連して、前記pFET構造およびnFET構造を基板上に形成するステップと、
前記pFET構造および前記nFET構造の領域をエッチングするステップと、
前記pFET構造の領域内に、前記基板の基礎格子定数と異なる格子定数を有する第1の材料を形成して、前記pFETチャネルに圧縮応力を付与するステップと、
前記nFET構造の領域内に、前記基板の基礎格子定数と異なる格子定数を有する第2の材料を形成して、前記nFETチャネルに引張応力を付与するステップと、
前記nFETおよびpFET構造のソースおよびドレイン領域をドーピングするステップとを含む、半導体構造の製造方法。
forming a p-type field effect transistor (pFET) channel and an n-type field effect transistor (nFET) channel in the substrate;
Forming the pFET structure and the nFET structure on a substrate in association with each of the pFET channel and the nFET channel;
Etching regions of the pFET structure and the nFET structure;
Forming a first material having a lattice constant different from a basic lattice constant of the substrate in a region of the pFET structure, and applying compressive stress to the pFET channel;
Forming a second material having a lattice constant different from a basic lattice constant of the substrate in a region of the nFET structure, and applying a tensile stress to the nFET channel;
Doping the source and drain regions of the nFET and pFET structures.
前記第1の材料は、SiGeであり、前記第2の材料は、Si:Cである、請求項10に記載の方法。 The method of claim 10, wherein the first material is SiGe and the second material is Si: C. 前記第1の材料は、前記pFETチャネル内に圧縮応力を生成し、前記第2の材料は、nFETチャネル内に引張応力を生成する、請求項11に記載の方法。 The method of claim 11, wherein the first material generates a compressive stress in the pFET channel and the second material generates a tensile stress in the nFET channel. 前記第1の材料は、前記nFET構造および前記pFET構造を覆って保護層を配置し、前記pFETチャネルのソースおよびドレイン領域内に、前記第1の材料を成長させることにより形成され、
前記第2の材料は、前記pFET構造および前記nFET構造のソースおよびドレイン領域を覆って保護層を配置し、前記nFETチャネルのソースおよびドレイン領域内に、前記第2の材料を成長させることにより形成される、請求項10に記載の方法。
The first material is formed by placing a protective layer over the nFET structure and the pFET structure and growing the first material in the source and drain regions of the pFET channel;
The second material is formed by depositing a protective layer over the source and drain regions of the pFET structure and the nFET structure, and growing the second material in the source and drain regions of the nFET channel. 11. The method of claim 10, wherein:
前記第1の材料および前記第2の材料は、前記基板に埋め込まれている、請求項10に記載の方法。 The method of claim 10, wherein the first material and the second material are embedded in the substrate. 前記第1の材料および前記第2の材料は、前記基板の表面上に隆起される、請求項10に記載の方法。 The method of claim 10, wherein the first material and the second material are raised on a surface of the substrate. 前記第1の材料および前記第2の材料は、約10〜100nmの厚さである、請求項10に記載の方法。 The method of claim 10, wherein the first material and the second material are about 10-100 nm thick. 前記第1の材料は、非緩和SiGeである、請求項10に記載の方法。 The method of claim 10, wherein the first material is unrelaxed SiGe. 前記第1の材料をp型ドーピングで、前記第2の材料をn型ドーピングでそのままでドープして、それぞれpFETおよびnFETのソースおよびドレイン領域を形成する、請求項10に記載の方法。 11. The method of claim 10, wherein the first material is doped with p-type doping and the second material is doped with n-type doping as is to form source and drain regions of the pFET and nFET, respectively. 基板内に形成されたp型電界効果トランジスタ(pFET)チャネルと、
前記基板内に形成されたn型電界効果トランジスタ(nFET)チャネルと、
前記基板の格子定数と異なる格子定数を有するpFETチャネルのソースおよびドレイン領域内の第1の材料層と、
前記基板の格子定数と異なる格子定数を有するnFETチャネルのソースおよびドレイン領域内の第2の材料層とを含む、半導体構造。
A p-type field effect transistor (pFET) channel formed in the substrate;
An n-type field effect transistor (nFET) channel formed in the substrate;
A first material layer in the source and drain regions of the pFET channel having a lattice constant different from the lattice constant of the substrate;
And a second material layer in the source and drain regions of the nFET channel having a lattice constant different from that of the substrate.
前記第1の材料層は、SiGeであり、前記第2の材料層は、Si:Cである、請求項19に記載の構造。 21. The structure of claim 19, wherein the first material layer is SiGe and the second material layer is Si: C. 前記第1の材料層および前記第2の材料層は、前記pFETチャネルおよび前記nFETチャネルで、異なる種類の応力をそれぞれ生成する、請求項19に記載の構造。 21. The structure of claim 19, wherein the first material layer and the second material layer generate different types of stresses in the pFET channel and the nFET channel, respectively.
JP2006536715A 2003-10-20 2004-10-19 High performance and stress enhanced MOSFETs using Si: C and SiGe epitaxially grown sources / drains and fabrication methods Pending JP2007528593A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/689,506 US7303949B2 (en) 2003-10-20 2003-10-20 High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
PCT/US2004/034562 WO2005043591A2 (en) 2003-10-20 2004-10-19 HIGH PERFORMANCE STRESS-ENHANCED MOSFETs USING Si:C AND SiGe EPITAXIAL SOURCE/DRAIN AND METHOD OF MANUFACTURE

Publications (2)

Publication Number Publication Date
JP2007528593A true JP2007528593A (en) 2007-10-11
JP2007528593A5 JP2007528593A5 (en) 2007-11-22

Family

ID=34521428

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006536715A Pending JP2007528593A (en) 2003-10-20 2004-10-19 High performance and stress enhanced MOSFETs using Si: C and SiGe epitaxially grown sources / drains and fabrication methods

Country Status (7)

Country Link
US (5) US7303949B2 (en)
EP (1) EP1676297A4 (en)
JP (1) JP2007528593A (en)
KR (1) KR100985935B1 (en)
CN (1) CN100562972C (en)
TW (1) TWI351762B (en)
WO (1) WO2005043591A2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007073800A (en) * 2005-09-08 2007-03-22 Seiko Epson Corp Semiconductor device
JP2007165817A (en) * 2005-11-18 2007-06-28 Sony Corp Semiconductor device and its manufacturing method
JP2007329477A (en) * 2006-06-09 2007-12-20 Internatl Business Mach Corp <Ibm> Semiconductor structure and its type, and method (structure and method for forming multilayer embedded stressor)
JP2008515224A (en) * 2004-09-29 2008-05-08 フリースケール セミコンダクター インコーポレイテッド Double gate device with strained channel
JP2009016407A (en) * 2007-07-02 2009-01-22 Renesas Technology Corp Manufacturing method of semiconductor device
JP2009503851A (en) * 2005-07-27 2009-01-29 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for manufacturing stress MOS device
JP2009506549A (en) * 2005-08-22 2009-02-12 インターナショナル・ビジネス・マシーンズ・コーポレーション High performance MOSFET including stressed gate metal silicide layer and method of manufacturing the same
JP2009524260A (en) * 2006-03-28 2009-06-25 インテル・コーポレーション Structure and manufacturing method of capping layer selectively deposited on epitaxially grown source / drain
JP2011515871A (en) * 2008-03-25 2011-05-19 インターナショナル・ビジネス・マシーンズ・コーポレーション Semiconductor device having tensile strain and / or compressive strain, manufacturing method and design structure
JP2012514348A (en) * 2008-12-31 2012-06-21 インテル コーポレイション Quantum well MOSFET channel with uniaxial strain generated by metal source / drain and conformal regrowth source / drain
CN102789986A (en) * 2011-05-20 2012-11-21 中芯国际集成电路制造(上海)有限公司 Semiconductor apparatus and manufacturing method thereof
JP2013534052A (en) * 2010-06-25 2013-08-29 インターナショナル・ビジネス・マシーンズ・コーポレーション Semiconductor structure including embedded stressor element and method of manufacturing the same

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7642566B2 (en) * 2006-06-12 2010-01-05 Dsm Solutions, Inc. Scalable process and structure of JFET for small and decreasing line widths
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7023018B2 (en) * 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
US20050275018A1 (en) * 2004-06-10 2005-12-15 Suresh Venkatesan Semiconductor device with multiple semiconductor layers
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7335544B2 (en) * 2004-12-15 2008-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making MOSFET device with localized stressor
KR100703967B1 (en) * 2005-02-28 2007-04-05 삼성전자주식회사 CMOS transistor and method for fabricating the same
JP4515305B2 (en) * 2005-03-29 2010-07-28 富士通セミコンダクター株式会社 P-channel MOS transistor and method for manufacturing the same, and method for manufacturing a semiconductor integrated circuit device
JP4764880B2 (en) * 2005-05-26 2011-09-07 パナソニック株式会社 Communication device that enables coexistence between systems
WO2007005136A1 (en) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc. Technique for forming contact insulation layers silicide regions with different characteristics
DE102005030583B4 (en) * 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Method for producing contact insulation layers and silicide regions having different properties of a semiconductor device and semiconductor device
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US7436169B2 (en) * 2005-09-06 2008-10-14 International Business Machines Corporation Mechanical stress characterization in semiconductor device
US8159030B2 (en) * 2005-11-30 2012-04-17 Globalfoundries Inc. Strained MOS device and methods for its fabrication
US7422950B2 (en) * 2005-12-14 2008-09-09 Intel Corporation Strained silicon MOS device with box layer between the source and drain regions
US8101485B2 (en) * 2005-12-16 2012-01-24 Intel Corporation Replacement gates to enhance transistor strain
ATE449418T1 (en) * 2005-12-23 2009-12-15 Imec METHOD FOR SELECTIVE EPITACTIC GROWTH OF SOURCE/DRAIN AREAS
US20070158739A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation Higher performance CMOS on (110) wafers
US20070184600A1 (en) * 2006-02-06 2007-08-09 Freescale Semiconductor Stressed-channel CMOS transistors
JP4847152B2 (en) 2006-02-22 2011-12-28 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US20070238267A1 (en) * 2006-03-28 2007-10-11 International Business Machines Corporation Epitaxy of Silicon-Carbon Substitutional Solid Solutions by Ultra-Fast Annealing of Amorphous Material
JP2007281038A (en) * 2006-04-03 2007-10-25 Toshiba Corp Semiconductor device
US20070287244A1 (en) * 2006-04-26 2007-12-13 Applied Materials, Inc., A Delaware Corporation ALTERNATIVE INTEGRATION SCHEME FOR CMOS S/D SiGe PROCESS
WO2007130240A1 (en) * 2006-04-28 2007-11-15 Advanced Micro Devices , Inc. A transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility
DE102006019835B4 (en) * 2006-04-28 2011-05-12 Advanced Micro Devices, Inc., Sunnyvale Transistor having a channel with tensile strain oriented along a crystallographic orientation with increased charge carrier mobility
US20070257315A1 (en) * 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
US7560326B2 (en) * 2006-05-05 2009-07-14 International Business Machines Corporation Silicon/silcion germaninum/silicon body device with embedded carbon dopant
KR100703986B1 (en) * 2006-05-22 2007-04-09 삼성전자주식회사 Semiconductor device having analog transistor with improved both operation and flicker noise characteristics and fabrication method thereof
US7279758B1 (en) * 2006-05-24 2007-10-09 International Business Machines Corporation N-channel MOSFETs comprising dual stressors, and methods for forming the same
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7473594B2 (en) * 2006-07-25 2009-01-06 International Business Machines Corporation Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
DE102006035666B3 (en) * 2006-07-31 2008-04-17 Advanced Micro Devices, Inc., Sunnyvale Method for forming a semiconductor structure
US8211761B2 (en) * 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US8154051B2 (en) * 2006-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. MOS transistor with in-channel and laterally positioned stressors
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
JP2008060408A (en) * 2006-08-31 2008-03-13 Toshiba Corp Semiconductor device
JP5114919B2 (en) * 2006-10-26 2013-01-09 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US8039284B2 (en) * 2006-12-18 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dual metal silicides for lowering contact resistance
US7892931B2 (en) * 2006-12-20 2011-02-22 Texas Instruments Incorporated Use of a single mask during the formation of a transistor's drain extension and recessed strained epi regions
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
KR100855977B1 (en) * 2007-02-12 2008-09-02 삼성전자주식회사 Semiconductor device and methods for manufacturing the same
US7927954B2 (en) * 2007-02-26 2011-04-19 United Microelectronics Corp. Method for fabricating strained-silicon metal-oxide semiconductor transistors
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
TW200910470A (en) * 2007-05-03 2009-03-01 Dsm Solutions Inc Enhanced hole mobility p-type JFET and fabrication method therefor
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8058123B2 (en) 2007-11-29 2011-11-15 Globalfoundries Singapore Pte. Ltd. Integrated circuit and method of fabrication thereof
US7767579B2 (en) * 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
JP2009152394A (en) * 2007-12-20 2009-07-09 Toshiba Corp Semiconductor device and method of manufacturing the same
KR101197464B1 (en) * 2007-12-26 2012-11-09 삼성전자주식회사 Method of manufacturing a semiconductor device
US7955909B2 (en) * 2008-03-28 2011-06-07 International Business Machines Corporation Strained ultra-thin SOI transistor formed by replacement gate
US7838372B2 (en) * 2008-05-22 2010-11-23 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
US20090302348A1 (en) * 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US20100001317A1 (en) 2008-07-03 2010-01-07 Yi-Wei Chen Cmos transistor and the method for manufacturing the same
KR20100081667A (en) * 2009-01-07 2010-07-15 삼성전자주식회사 Semiconductor devices having strained channels and methods of manufacturing the same
US8216893B2 (en) * 2009-01-26 2012-07-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7670934B1 (en) * 2009-01-26 2010-03-02 Globalfoundries Inc. Methods for fabricating MOS devices having epitaxially grown stress-inducing source and drain regions
KR20100087256A (en) * 2009-01-26 2010-08-04 인터내셔널 비지네스 머신즈 코포레이션 Improved transistor devices and methods of making
US7935593B2 (en) 2009-02-05 2011-05-03 Samsung Electronics Co., Ltd. Stress optimization in dual embedded epitaxially grown semiconductor processing
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
DE102009010847B4 (en) * 2009-02-27 2012-12-27 Advanced Micro Devices, Inc. Integrate semiconductor alloys into PMOS and NMOS transistors using a common recess etch process
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9484462B2 (en) * 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
KR20110036312A (en) * 2009-10-01 2011-04-07 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US8592325B2 (en) * 2010-01-11 2013-11-26 International Business Machines Corporation Insulating layers on different semiconductor materials
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
KR101576529B1 (en) * 2010-02-12 2015-12-11 삼성전자주식회사 Semiconductor device with have silicon facet using wet etch and method for manufacturing same
CN102386226B (en) * 2010-08-31 2013-08-28 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
CN102446953B (en) 2010-09-30 2015-08-05 中国科学院微电子研究所 A kind of semiconductor structure and manufacture method thereof
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
CN102456739A (en) * 2010-10-28 2012-05-16 中国科学院微电子研究所 Semiconductor structure and forming method thereof
US8426265B2 (en) 2010-11-03 2013-04-23 International Business Machines Corporation Method for growing strain-inducing materials in CMOS circuits in a gate first flow
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8361847B2 (en) 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8372705B2 (en) 2011-01-25 2013-02-12 International Business Machines Corporation Fabrication of CMOS transistors having differentially stressed spacers
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US20120292637A1 (en) * 2011-05-17 2012-11-22 Globalfoundries Inc. Dual Cavity Etch for Embedded Stressor Regions
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
CN102856259A (en) * 2011-07-01 2013-01-02 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor device
KR101865754B1 (en) * 2011-07-01 2018-06-12 삼성전자주식회사 Semiconductor device and method for fabricating the device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US20130099318A1 (en) 2011-10-25 2013-04-25 International Business Machines Corporation Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8507915B2 (en) 2011-11-30 2013-08-13 International Business Machines Corporation Low resistance embedded strap for a trench capacitor
US8963202B2 (en) * 2012-02-09 2015-02-24 United Microelectronics Corporation Electrostatic discharge protection apparatus
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
CN103855096B (en) * 2012-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 The forming method of CMOS transistor
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US20140306290A1 (en) * 2013-04-11 2014-10-16 International Business Machines Corporation Dual Silicide Process Compatible with Replacement-Metal-Gate
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
KR102069275B1 (en) 2013-06-07 2020-01-22 삼성전자주식회사 Semiconductor device having strained channel layer and method of manufacturing the same
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
CN104253090B (en) * 2013-06-26 2017-11-03 中芯国际集成电路制造(上海)有限公司 The forming method of CMOS transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9245972B2 (en) 2013-09-03 2016-01-26 United Microelectronics Corp. Method for manufacturing semiconductor device
US20150069327A1 (en) * 2013-09-11 2015-03-12 International Business Machines Corporation Fin field-effect transistors with superlattice channels
US9391077B2 (en) 2014-02-10 2016-07-12 International Business Machines Corporation SiGe and Si FinFET structures and methods for making the same
US9385191B2 (en) 2014-11-20 2016-07-05 United Microelectronics Corporation FINFET structure
US9685510B2 (en) 2015-09-10 2017-06-20 International Business Machines Corporation SiGe CMOS with tensely strained NFET and compressively strained PFET
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
EP3550595B1 (en) * 2016-11-30 2024-04-10 Ricoh Company, Ltd. Coating liquid for forming oxide or oxynitride insulator film and a method for manufacturing using the coating liquid
US10134876B2 (en) 2017-03-31 2018-11-20 Globalfoundries Inc. FinFETs with strained channels and reduced on state resistance
KR102484092B1 (en) 2019-12-13 2023-01-04 울산대학교 산학협력단 Gate structure having high dielectric constant and band gap, and method for manufacturing the same
KR20220108885A (en) 2021-01-27 2022-08-04 삼성디스플레이 주식회사 Display apparatus

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10107294A (en) * 1996-09-27 1998-04-24 Siemens Ag Integrated cmos circuit device and fabrication thereof
JPH11163343A (en) * 1997-11-28 1999-06-18 Nec Corp Semiconductor device and its manufacture
JP2001501033A (en) * 1996-09-27 2001-01-23 シーメンス アクチエンゲゼルシヤフト Method for manufacturing MOS transistor
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
JP2003152177A (en) * 2001-11-19 2003-05-23 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2003243532A (en) * 2002-02-15 2003-08-29 Toshiba Corp Complementary semiconductor device and manufacturing method thereof
JP2004031753A (en) * 2002-06-27 2004-01-29 Renesas Technology Corp Manufacturing method of semiconductor device
WO2005010982A1 (en) * 2003-06-27 2005-02-03 Intel Corporation Pmos transistor strain optimization with raised junction regions

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US86472A (en) * 1869-02-02 photo-lithographer
US90791A (en) * 1869-06-01 Improvement in saw-guide and jointer
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (en) * 1985-09-13 1991-01-15 Siemens Ag CIRCUIT CONTAINING INTEGRATED BIPOLAR AND COMPLEMENTARY MOSTRANSISTORS ON A COMMON SUBSTRATE AND METHOD FOR THEIR MANUFACTURE.
US5059559A (en) * 1987-11-02 1991-10-22 Hitachi, Ltd. Method of aligning and bonding tab inner leads
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
JP2851753B2 (en) 1991-10-22 1999-01-27 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5354698A (en) * 1993-07-19 1994-10-11 Micron Technology, Inc. Hydrogen reduction method for removing contaminants in a semiconductor ion implantation process
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6107690A (en) * 1995-09-26 2000-08-22 Micron Technology, Inc. Coated semiconductor die/leadframe assembly and method for coating the assembly
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5840598A (en) * 1997-08-14 1998-11-24 Micron Technology, Inc. LOC semiconductor assembled with room temperature adhesive
JP3139426B2 (en) * 1997-10-15 2001-02-26 日本電気株式会社 Semiconductor device
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (en) * 1998-03-02 2000-12-15 윤종용 Method of fabricating trench isolation in an integrated circuit
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (en) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
EP1169737B1 (en) 1999-03-12 2008-05-07 International Business Machines Corporation High speed ge channel heterostructures for field effect devices
US6103563A (en) * 1999-03-17 2000-08-15 Advanced Micro Devices, Inc. Nitride disposable spacer to reduce mask count in CMOS transistor formation
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6310390B1 (en) * 1999-04-08 2001-10-30 Micron Technology, Inc. BGA package and method of fabrication
US6270353B1 (en) 1999-06-07 2001-08-07 International Business Machines Corporation Low cost shallow trench isolation using non-conformal dielectric material
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (en) * 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6631995B2 (en) * 1999-09-02 2003-10-14 Koninklijke Philips Electronics N.V. Method of and device for generating an image having a desired brightness
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2001338988A (en) * 2000-05-25 2001-12-07 Hitachi Ltd Semiconductor device and its manufacturing method
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
WO2002043151A1 (en) 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
JP2003086708A (en) 2000-12-08 2003-03-20 Hitachi Ltd Semiconductor device and manufacturing method thereof
US6844227B2 (en) 2000-12-26 2005-01-18 Matsushita Electric Industrial Co., Ltd. Semiconductor devices and method for manufacturing the same
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
JP3678661B2 (en) * 2001-03-08 2005-08-03 シャープ株式会社 Semiconductor device
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
KR100387531B1 (en) * 2001-07-30 2003-06-18 삼성전자주식회사 Method for fabricating semiconductor device
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (en) * 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
AU2002341803A1 (en) * 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6819192B2 (en) * 2002-02-14 2004-11-16 Sun Microsystems, Inc. Jitter estimation for a phase locked loop
JP4173672B2 (en) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6882010B2 (en) * 2002-10-03 2005-04-19 Micron Technology, Inc. High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US7022561B2 (en) * 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
US6808980B2 (en) 2002-12-05 2004-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of process simplification and eliminating topography concerns for the creation of advanced 1T-RAM devices
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6955952B2 (en) * 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US7045408B2 (en) * 2003-05-21 2006-05-16 Intel Corporation Integrated circuit with improved channel stress properties and a method for making it
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6927414B2 (en) * 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7342289B2 (en) 2003-08-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon MOS devices
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US6906360B2 (en) * 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
US7012324B2 (en) * 2003-09-12 2006-03-14 Freescale Semiconductor, Inc. Lead frame with flag support structure
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7316960B2 (en) * 2004-07-13 2008-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Strain enhanced ultra shallow junction formation
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10107294A (en) * 1996-09-27 1998-04-24 Siemens Ag Integrated cmos circuit device and fabrication thereof
JP2001501033A (en) * 1996-09-27 2001-01-23 シーメンス アクチエンゲゼルシヤフト Method for manufacturing MOS transistor
JPH11163343A (en) * 1997-11-28 1999-06-18 Nec Corp Semiconductor device and its manufacture
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
JP2003152177A (en) * 2001-11-19 2003-05-23 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2003243532A (en) * 2002-02-15 2003-08-29 Toshiba Corp Complementary semiconductor device and manufacturing method thereof
JP2004031753A (en) * 2002-06-27 2004-01-29 Renesas Technology Corp Manufacturing method of semiconductor device
WO2005010982A1 (en) * 2003-06-27 2005-02-03 Intel Corporation Pmos transistor strain optimization with raised junction regions

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008515224A (en) * 2004-09-29 2008-05-08 フリースケール セミコンダクター インコーポレイテッド Double gate device with strained channel
JP2009503851A (en) * 2005-07-27 2009-01-29 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for manufacturing stress MOS device
US8405131B2 (en) 2005-08-22 2013-03-26 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
JP2009506549A (en) * 2005-08-22 2009-02-12 インターナショナル・ビジネス・マシーンズ・コーポレーション High performance MOSFET including stressed gate metal silicide layer and method of manufacturing the same
JP2007073800A (en) * 2005-09-08 2007-03-22 Seiko Epson Corp Semiconductor device
JP2007165817A (en) * 2005-11-18 2007-06-28 Sony Corp Semiconductor device and its manufacturing method
JP2009524260A (en) * 2006-03-28 2009-06-25 インテル・コーポレーション Structure and manufacturing method of capping layer selectively deposited on epitaxially grown source / drain
JP2007329477A (en) * 2006-06-09 2007-12-20 Internatl Business Mach Corp <Ibm> Semiconductor structure and its type, and method (structure and method for forming multilayer embedded stressor)
JP2009016407A (en) * 2007-07-02 2009-01-22 Renesas Technology Corp Manufacturing method of semiconductor device
JP2011515871A (en) * 2008-03-25 2011-05-19 インターナショナル・ビジネス・マシーンズ・コーポレーション Semiconductor device having tensile strain and / or compressive strain, manufacturing method and design structure
US8578305B2 (en) 2008-03-25 2013-11-05 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US8916933B2 (en) 2008-03-25 2014-12-23 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
JP2012514348A (en) * 2008-12-31 2012-06-21 インテル コーポレイション Quantum well MOSFET channel with uniaxial strain generated by metal source / drain and conformal regrowth source / drain
JP2013534052A (en) * 2010-06-25 2013-08-29 インターナショナル・ビジネス・マシーンズ・コーポレーション Semiconductor structure including embedded stressor element and method of manufacturing the same
CN102789986A (en) * 2011-05-20 2012-11-21 中芯国际集成电路制造(上海)有限公司 Semiconductor apparatus and manufacturing method thereof
CN102789986B (en) * 2011-05-20 2015-03-04 中芯国际集成电路制造(上海)有限公司 Semiconductor apparatus and manufacturing method thereof

Also Published As

Publication number Publication date
US20070296038A1 (en) 2007-12-27
EP1676297A4 (en) 2008-06-25
US9401424B2 (en) 2016-07-26
US7303949B2 (en) 2007-12-04
US20120196412A1 (en) 2012-08-02
US8901566B2 (en) 2014-12-02
EP1676297A2 (en) 2006-07-05
CN100562972C (en) 2009-11-25
US20050082616A1 (en) 2005-04-21
US8168489B2 (en) 2012-05-01
WO2005043591A3 (en) 2007-06-21
CN101199037A (en) 2008-06-11
KR100985935B1 (en) 2010-10-06
US20140322873A1 (en) 2014-10-30
TW200525759A (en) 2005-08-01
US20070264783A1 (en) 2007-11-15
WO2005043591A2 (en) 2005-05-12
KR20060090828A (en) 2006-08-16
WO2005043591A8 (en) 2005-11-03
TWI351762B (en) 2011-11-01
US9023698B2 (en) 2015-05-05

Similar Documents

Publication Publication Date Title
JP2007528593A (en) High performance and stress enhanced MOSFETs using Si: C and SiGe epitaxially grown sources / drains and fabrication methods
US7608489B2 (en) High performance stress-enhance MOSFET and method of manufacture
US7101742B2 (en) Strained channel complementary field-effect transistors and methods of manufacture
US7473608B2 (en) N-channel MOSFETs comprising dual stressors, and methods for forming the same
KR100910902B1 (en) Strained dislocation-free channels for cmos and method of manufacture
US7446350B2 (en) Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
US20080179636A1 (en) N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US20040212035A1 (en) Strained-channel transistor and methods of manufacture
US20040026765A1 (en) Semiconductor devices having strained dual channel layers
KR20060100433A (en) Silicon device on si:c-oi and sgoi and method of manufacture
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement
US7863141B2 (en) Integration for buried epitaxial stressor
US8440539B2 (en) Isolation trench processing for strain control

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071004

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071004

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110516

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110516

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110719

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110913

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120111

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120118

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120210

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130122