JP2007157959A - Method of manufacturing semiconductor device, and semiconductor device - Google Patents

Method of manufacturing semiconductor device, and semiconductor device Download PDF

Info

Publication number
JP2007157959A
JP2007157959A JP2005350176A JP2005350176A JP2007157959A JP 2007157959 A JP2007157959 A JP 2007157959A JP 2005350176 A JP2005350176 A JP 2005350176A JP 2005350176 A JP2005350176 A JP 2005350176A JP 2007157959 A JP2007157959 A JP 2007157959A
Authority
JP
Japan
Prior art keywords
wiring
dielectric material
low dielectric
film
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005350176A
Other languages
Japanese (ja)
Inventor
Hiroyuki Kawashima
寛之 川島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2005350176A priority Critical patent/JP2007157959A/en
Publication of JP2007157959A publication Critical patent/JP2007157959A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor device excellent in processing controllability of a wiring trench and reduced in interwiring capacitance, and to provide the semiconductor device. <P>SOLUTION: An interwiring insulating film 2 is obtained by stacking sequentially on a base substrate 1 a first low dielectric material layer 2a consisting of an organic low dielectric material or amorphous carbon, and a second low dielectric material layer 2b consisted of an inorganic low dielectric material. A first wiring trench 3 to the base substrate 1 is formed on the interwiring insulating film, and a first wiring 5 is formed by embedding a conductive film in the first wiring trench 3. Then, an interwiring layer insulating film 8 is formed on the first wiring 5 and on the second low dielectric material layer 2b, and thereafter a connection hole 9 to the first wiring 5 is formed in the interwiring layer insulating film 8. A conductive film is embedded in the connection hole 9 to form a via 11. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、半導体装置の製造方法および半導体装置に関し、さらに詳しくは、低誘電率化された層間絶縁膜に良好な形状のシングルダマシン構造の多層配線構造を備えた半導体装置の製造方法および半導体装置に関する。   The present invention relates to a method for manufacturing a semiconductor device and a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device having a single-damascene multilayer wiring structure having a good shape on an interlayer insulating film having a low dielectric constant. About.

半導体装置の微細化、高集積化に伴い、配線の時定数に起因する電気信号の遅れが深刻な問題となっている。そこで、多層配線構造で用いられる導電層には、アルミニウム(Al)系合金の配線に代わり、低電気抵抗の銅(Cu)配線が導入されるようになっている。   With the miniaturization and high integration of semiconductor devices, the delay of electrical signals due to the wiring time constant has become a serious problem. Therefore, low electrical resistance copper (Cu) wiring is introduced into the conductive layer used in the multilayer wiring structure instead of aluminum (Al) alloy wiring.

Cuは、従来の多層配線構造に使われているAlなどの金属材料とは異なって、ドライエッチングによるパターンニングが困難なため、絶縁膜に配線溝を形成し、配線溝にCu膜を埋め込むことにより配線パターンを形成するダマシン法が一般にCu多層配線構造に適用されている。ダマシン法には、層間絶縁膜に設けられた配線溝とその底部に連通する接続孔とに同一工程でCu膜を埋め込むデュアルダマシン方式と、配線溝と接続孔とに別工程でCu膜を埋め込むシングルダマシン方式がある。   Unlike metal materials such as Al used in conventional multilayer wiring structures, Cu is difficult to pattern by dry etching. Therefore, wiring grooves are formed in the insulating film and Cu films are embedded in the wiring grooves. In general, the damascene method for forming a wiring pattern is applied to a Cu multilayer wiring structure. In the damascene method, a dual damascene method in which a Cu film is embedded in the wiring groove provided in the interlayer insulating film and a connection hole communicating with the bottom thereof in the same process, and a Cu film is embedded in the wiring groove and the connection hole in a separate process. There is a single damascene method.

また、高集積半導体装置では、配線間容量の増大が半導体装置の動作速度の低下を招くために、層間絶縁膜として従来から用いられている酸化シリコン(SiO2)よりも比誘電率が低い低誘電材料を層間絶縁膜に用いて配線間容量の増大を抑制した微細な多層配線構造が不可欠となっている。低誘電材料としては、従来から比較的使用実績のある比誘電率3.5程度のフッ素含有酸化シリコン(FSG)に加えて、ポリアリールエーテル(PAE)に代表される有機系のポリマーや、ハイドロゲンシルセスキオキサン(HSQ)、メチルシルセスキオキサン(MSQ)に代表される無機系材料などの比誘電率2.7前後の低誘電材料が挙げられる。更に、近年では、それらを多孔質化させて比誘電率を2.2前後とした低誘電材料の適用も試みられている。 Further, in a highly integrated semiconductor device, an increase in inter-wiring capacitance leads to a decrease in the operation speed of the semiconductor device. Therefore, the dielectric constant is lower than that of silicon oxide (SiO 2 ) conventionally used as an interlayer insulating film. A fine multilayer wiring structure in which a dielectric material is used for an interlayer insulating film and an increase in capacitance between wirings is suppressed is indispensable. Low dielectric materials include fluorine-containing silicon oxide (FSG) with a relative dielectric constant of about 3.5 that has been used for a long time, organic polymers such as polyaryl ether (PAE), and hydrogen. Examples thereof include low dielectric materials having a relative dielectric constant of about 2.7, such as inorganic materials typified by silsesquioxane (HSQ) and methylsilsesquioxane (MSQ). Furthermore, in recent years, attempts have been made to apply low dielectric materials having a relative dielectric constant of around 2.2 by making them porous.

ところで、上記デュアルダマシン方式を低誘電材料層を有する層間絶縁膜に適用する場合、酸化シリコン(SiO2)層からなる第1マスク、窒化シリコン(SiN)層からなる第2マスクおよびSiO2層からなる第3マスクが順次積層されたトリプルマスクを適用して、層間絶縁膜に配線溝と接続孔とを形成する例が報告されている(例えば、特許文献1参照)。 By the way, when the dual damascene method is applied to an interlayer insulating film having a low dielectric material layer, the first mask made of a silicon oxide (SiO 2 ) layer, the second mask made of a silicon nitride (SiN) layer, and the SiO 2 layer. There has been reported an example in which a wiring mask and a connection hole are formed in an interlayer insulating film by applying a triple mask in which third masks are sequentially stacked (see, for example, Patent Document 1).

このようなデュアルダマシン方式によれば、配線溝と接続孔とを同時にCu膜で埋め込むことから、工程数が削減されるという利点がある。しかし、微細化が進むと、配線溝とその底部に連通する接続孔とで構成される凹部のアスペクト比が高くなり、Cu膜の埋め込み不良が生じ易くなるだけでなく、凹部の内壁を覆う状態で形成されるバリア膜のカバレッジも悪くなる。また、配線溝と接続孔とをCu膜で埋め込んだ後の、熱処理工程において、Cuの「吸い上がり」が生じ易く、ヴィア中にボイドが形成され易い。さらに、上述したデュアルダマシン方式による半導体装置の製造方法では、下層配線に対して、上層配線とヴィアの両方の位置合わせが行われることから、合わせずれが生じ易く、配線溝および接続孔の寸法を同時に制御する必要があるため、寸法制御も難しい。   Such a dual damascene method has an advantage that the number of processes is reduced because the wiring groove and the connection hole are simultaneously filled with the Cu film. However, as the miniaturization progresses, the aspect ratio of the recess formed by the wiring groove and the connection hole communicating with the bottom thereof becomes higher, and not only is the Cu film not easily embedded, but the inner wall of the recess is covered. Also, the coverage of the barrier film formed by is deteriorated. Further, in the heat treatment step after filling the wiring trench and the connection hole with the Cu film, Cu “sucking” is likely to occur, and voids are likely to be formed in the via. Furthermore, in the above-described method for manufacturing a semiconductor device by the dual damascene method, since the alignment of both the upper layer wiring and the via is performed with respect to the lower layer wiring, misalignment is likely to occur, and the dimensions of the wiring groove and the connection hole are reduced. Since it is necessary to control simultaneously, dimensional control is also difficult.

これに対し、シングルダマシン方式は、配線溝と接続孔とをCu膜で別々に埋め込むため、Cuの埋め込み性やバリア膜のカバレッジが向上するとともに、Cuの「吸い上がり」が抑制される。また、下層配線に対してヴィアの位置合わせを行い、ヴィアに対して上層配線の位置合わせを行うため、合わせずれも少なく、配線とヴィアの寸法をそれぞれ独立に制御できるため、寸法制御性に優れている、という利点がある。   On the other hand, in the single damascene method, since the wiring trench and the connection hole are separately embedded with the Cu film, the Cu burying property and the barrier film coverage are improved, and Cu “sucking” is suppressed. In addition, alignment of vias is performed with respect to lower layer wiring, and upper layer wirings are aligned with respect to vias, so there is little misalignment and wiring and via dimensions can be controlled independently, resulting in excellent dimensional controllability. There is an advantage that.

ここで、上記シングルダマシン方式を低誘電材料層を有する層間絶縁膜に適用する場合には、次のような工程で行う例が報告されている(例えば、特許文献2参照)。   Here, when the single damascene method is applied to an interlayer insulating film having a low dielectric material layer, an example of performing the following steps has been reported (for example, see Patent Document 2).

まず、図8(a)に示すように、下地基板101上に炭素含有シリコン(SiC(SiCH))エッチングストッパー膜102を形成した後、エッチングストッパー膜102上に、配線間絶縁膜103として、SiOC(SiOCH)層103a、SiO2層103bを順次積層する。次に、配線間絶縁膜103に上記エッチングストッパー膜102に達する第1配線溝104を形成し、第1配線溝104の底部のエッチングストッパー膜102を除去して下地基板101を露出させる。次いで、第1配線溝104の内壁を覆う状態で、SiO2層103b上に、バリア膜105を形成する。続いて、第1配線溝104を埋め込む状態で、バリア膜105上にCu膜を形成した後、化学的機械的研磨(Chemical Mechanical Polishing(CMP))法により配線パターンとして不要なCu膜およびバリア膜105を除去し、第1配線106を形成する。続いて、第1配線106上およびSiO2層103b上に、エッチングストッパー膜(バリア絶縁膜)107を形成する。 First, as shown in FIG. 8A, after a carbon-containing silicon (SiC (SiCH)) etching stopper film 102 is formed on the base substrate 101, an SiOC film as an inter-wiring insulating film 103 is formed on the etching stopper film 102. The (SiOCH) layer 103a and the SiO 2 layer 103b are sequentially stacked. Next, a first wiring groove 104 reaching the etching stopper film 102 is formed in the inter-wiring insulating film 103, and the etching stopper film 102 at the bottom of the first wiring groove 104 is removed to expose the base substrate 101. Next, a barrier film 105 is formed on the SiO 2 layer 103 b so as to cover the inner wall of the first wiring groove 104. Subsequently, a Cu film is formed on the barrier film 105 in a state in which the first wiring groove 104 is embedded, and then an unnecessary Cu film and barrier film are formed as a wiring pattern by a chemical mechanical polishing (CMP) method. 105 is removed and a first wiring 106 is formed. Subsequently, an etching stopper film (barrier insulating film) 107 is formed on the first wiring 106 and the SiO 2 layer 103b.

次に、図8(b)に示すように、エッチングストッパー膜107上に、配線層間絶縁膜108として、SiOC(SiOCH)層108a、SiO2層108bを順次積層する。次に、配線層間絶縁膜108にエッチングストッパー膜107に達する接続孔109を形成し、接続孔109の底部のエッチングストッパー膜107を除去して第1配線106の表面を露出する。次いで、第1配線106と同様の方法で、この接続孔109に、バリア膜110を介してCuからなるヴィア(導体プラグ)111を形成する。続いて、ヴィア111上およびSiO2層108b上に、エッチングストッパー膜112を形成する。 Next, as shown in FIG. 8B, a SiOC (SiOCH) layer 108 a and a SiO 2 layer 108 b are sequentially stacked on the etching stopper film 107 as a wiring interlayer insulating film 108. Next, a connection hole 109 reaching the etching stopper film 107 is formed in the wiring interlayer insulating film 108, and the etching stopper film 107 at the bottom of the connection hole 109 is removed to expose the surface of the first wiring 106. Next, vias (conductor plugs) 111 made of Cu are formed in the connection holes 109 through the barrier film 110 in the same manner as the first wiring 106. Subsequently, an etching stopper film 112 is formed on the via 111 and the SiO 2 layer 108b.

次いで、図8(c)に示すように、エッチングストッパー膜112上に、配線間絶縁膜113として、SiOC(SiOCH)層113a、SiO2層113bを順次積層する。次に、配線間絶縁膜113に、上記エッチングストッパー膜112に達する第2配線溝114を形成し、第2配線溝114の底部のエッチングストッパー膜112を除去して、ヴィア111の表面を露出させる。次いで、第1配線106と同様の方法で、この第2配線溝114に、バリア膜115を介してCuからなる第2配線116を形成する。 Next, as shown in FIG. 8C, a SiOC (SiOCH) layer 113 a and a SiO 2 layer 113 b are sequentially stacked on the etching stopper film 112 as the inter-wiring insulating film 113. Next, a second wiring groove 114 reaching the etching stopper film 112 is formed in the inter-wiring insulating film 113, and the etching stopper film 112 at the bottom of the second wiring groove 114 is removed to expose the surface of the via 111. . Next, a second wiring 116 made of Cu is formed in the second wiring groove 114 through the barrier film 115 by the same method as the first wiring 106.

以上のようにして、シングルダマシン方式によるCuの多層配線構造が形成される。   As described above, a multilayer wiring structure of Cu by a single damascene method is formed.

特開2004−63859号公報JP 2004-63859 A 特開2004−221275号公報JP 2004-221275 A

しかし、図9(a)に示すように、上述したような半導体装置の製造方法では、図8(c)を用いて説明した工程において、配線層間絶縁膜108の上層を構成するSiO2層108bとその上層に形成されるSiCからなるエッチングストッパー膜112とのエッチング選択比が小さいため、エッチングストッパー膜112に達する状態で開口幅の異なる第2配線溝114A、114Bを形成した後、第2配線溝114A、114Bの底部のエッチングストッパー膜112を除去する際に、第2配線溝114の開口幅によって、第2配線溝114の深さがばらついてしまう。この第2配線溝114の深さばらつきは、ウエハ面内における第2配線溝114の位置によっても生じる。 However, as shown in FIG. 9A, in the method of manufacturing a semiconductor device as described above, in the step described with reference to FIG. 8C, the SiO 2 layer 108b constituting the upper layer of the wiring interlayer insulating film 108. And the etching stopper film 112 made of SiC formed thereon are small in etching selectivity. Therefore, after the second wiring grooves 114A and 114B having different opening widths are formed in a state of reaching the etching stopper film 112, the second wiring When the etching stopper film 112 at the bottom of the grooves 114A and 114B is removed, the depth of the second wiring groove 114 varies depending on the opening width of the second wiring groove 114. The variation in the depth of the second wiring groove 114 also occurs depending on the position of the second wiring groove 114 in the wafer surface.

このため、図9(b)に示すように、第2配線溝114A、114Bにバリア膜115を介して第2配線116を形成したシングルダマシン構造を有する半導体装置では、配線抵抗と配線間容量がばらつくことから、デバイス性能が悪化してしまう。   Therefore, as shown in FIG. 9B, in the semiconductor device having a single damascene structure in which the second wiring 116 is formed in the second wiring trenches 114A and 114B via the barrier film 115, the wiring resistance and the capacitance between the wirings are high. Device performance deteriorates due to variations.

また、配線間絶縁膜103、113および配線層間絶縁膜108の上層側を比誘電率4.2程度のSiO2層103b、108b、113bで形成するだけでなく、エッチングストッパー膜102、107、112、117を比誘電率3.5〜5程度のSiC膜で形成することから、配線間および配線層間の実効的な比誘電率は、下がり難くなってしまう。 Further, the upper side of the inter-wiring insulating films 103 and 113 and the wiring interlayer insulating film 108 is not only formed by the SiO 2 layers 103b, 108b and 113b having a relative dielectric constant of about 4.2, but also the etching stopper films 102, 107 and 112. 117 is formed of a SiC film having a relative dielectric constant of about 3.5 to 5, the effective relative dielectric constant between wirings and between wiring layers is difficult to decrease.

以上のことから、本発明は、配線溝の加工制御性に優れ、配線間容量が低減された半導体装置の製造方法および半導体装置を提供することを目的としている。   In view of the above, an object of the present invention is to provide a semiconductor device manufacturing method and a semiconductor device that are excellent in process controllability of wiring grooves and have a reduced inter-wiring capacitance.

上記目的を達成するために、本発明の半導体装置の製造方法は、低誘電材料で構成された配線間絶縁膜と配線層間絶縁膜を備えた半導体装置の製造方法であって、下地基板上に、有機系の低誘電材料またはアモルファスカーボンで構成された第1の低誘電材料層と無機系の低誘電材料で構成された第2の低誘電材料層とを順次積層してなる配線間絶縁膜を形成した後、この配線間絶縁膜に下地基板に達する配線溝を形成し、この配線溝に導電膜を埋め込んで配線を形成する第1の工程と、下地基板上に、無機系の低誘電材料からなる配線層間絶縁膜を形成した後、この配線層間絶縁膜に下地基板に達する接続孔を形成し、この接続孔に導電膜を埋め込んでヴィアを形成する第2の工程とを有している。そして、第1の工程および第2の工程のいずれかを先に行うことを特徴としている。   In order to achieve the above object, a manufacturing method of a semiconductor device of the present invention is a manufacturing method of a semiconductor device provided with an inter-wiring insulating film and an inter-wiring insulating film made of a low dielectric material, on a base substrate. An inter-wiring insulating film formed by sequentially laminating a first low dielectric material layer composed of an organic low dielectric material or amorphous carbon and a second low dielectric material layer composed of an inorganic low dielectric material After forming a wiring groove, a wiring groove reaching the base substrate is formed in the inter-wiring insulating film, and a conductive film is buried in the wiring groove to form a wiring, and an inorganic low dielectric constant is formed on the base substrate. Forming a wiring interlayer insulating film made of a material, forming a connection hole reaching the base substrate in the wiring interlayer insulating film, and filling the conductive film into the connection hole to form a via; Yes. And it is characterized in that either the first step or the second step is performed first.

このような半導体装置の製造方法によれば、配線間絶縁膜と配線層間絶縁膜とが低誘電材料で構成されることから、配線間と配線層間の実効的な比誘電率が低減される。また、第2の工程の後に、連続して第1の工程を行うことで、無機系の低誘電材料からなる配線層間絶縁膜上に有機系の低誘電材料またはアモルファスカーボンからなる第1の低誘電材料層が形成されるため、配線溝を形成する際に、配線層間絶縁膜に対する第1の低誘電材料層のエッチング選択比が大きくなる。これにより、配線溝の開口幅やウエハ面内における配線溝の位置によって、配線溝が異なる深さに掘り込まれることが防止され、均一な深さの配線溝を加工制御性よく形成することが可能となる。   According to such a method of manufacturing a semiconductor device, since the inter-wiring insulating film and the wiring interlayer insulating film are made of a low dielectric material, the effective relative dielectric constant between the wirings and the wiring layers is reduced. In addition, by performing the first step continuously after the second step, the first low step made of an organic low dielectric material or amorphous carbon is formed on the wiring interlayer insulating film made of an inorganic low dielectric material. Since the dielectric material layer is formed, the etching selectivity of the first low dielectric material layer with respect to the wiring interlayer insulating film increases when the wiring groove is formed. This prevents the wiring groove from being dug to different depths depending on the opening width of the wiring groove or the position of the wiring groove in the wafer surface, and it is possible to form a wiring groove having a uniform depth with good process controllability. It becomes possible.

また、本発明の半導体装置は、低誘電材料で構成された配線間絶縁膜と配線層間絶縁膜を備えた半導体装置であって、有機系の低誘電材料またはアモルファスカーボンで構成された第1の低誘電材料層と無機系の低誘電材料で構成された第2の低誘電材料層とを順次積層してなる配線間絶縁膜を貫通する状態で配線が設けられた第1の層と、無機系の低誘電材料からなる配線層間絶縁膜を貫通する状態でヴィアが設けられた第2の層とを備えている。そして、下地基板上に、配線とヴィアとを連通させる状態で、第1の層と第2の層とが積層されていることを特徴としている。   The semiconductor device of the present invention is a semiconductor device including an inter-wiring insulating film and a wiring interlayer insulating film made of a low dielectric material, and is a first device made of an organic low dielectric material or amorphous carbon. A first layer provided with wiring in a state of penetrating an inter-wiring insulating film formed by sequentially laminating a low dielectric material layer and a second low dielectric material layer composed of an inorganic low dielectric material; And a second layer provided with vias in a state of penetrating through a wiring interlayer insulating film made of a low dielectric material. Then, the first layer and the second layer are stacked on the base substrate in a state where the wiring and the via communicate with each other.

このような半導体装置によれば、配線間絶縁膜と配線層間絶縁膜とが低誘電材料で構成されることから、配線間と配線層間の実効的な比誘電率が低減される。   According to such a semiconductor device, since the inter-wiring insulating film and the wiring interlayer insulating film are made of a low dielectric material, the effective relative dielectric constant between the wirings and the wiring layer is reduced.

以上、説明したように、本発明の半導体装置の製造方法および半導体装置によれば、配線間と配線層間の実効的な比誘電率が低減されるため、配線間容量を低減することができる。また、配線溝の加工制御性に優れていることから、配線抵抗と配線間容量のばらつきを抑制することができる。したがって、デバイス性能を向上させることができる。   As described above, according to the semiconductor device manufacturing method and the semiconductor device of the present invention, the effective relative dielectric constant between the wirings and between the wiring layers is reduced, so that the capacitance between the wirings can be reduced. Moreover, since the process controllability of the wiring groove is excellent, it is possible to suppress variations in wiring resistance and inter-wiring capacitance. Therefore, device performance can be improved.

以下、本発明の実施の形態を図面に基づいて詳細に説明する。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.

(第1実施形態)
本実施形態例は、本発明にかかる半導体装置の製造方法の実施形態の一例であり、シングルダマシン構造の形成に係わる。以下、図1〜図4の製造工程断面図を用いて本発明の第1実施形態を説明する。
(First embodiment)
This embodiment is an example of an embodiment of a method for manufacturing a semiconductor device according to the present invention, and relates to the formation of a single damascene structure. The first embodiment of the present invention will be described below with reference to the cross-sectional views of the manufacturing steps shown in FIGS.

まず、図1(a)に示すように、素子領域等(図示省略)が形成された半導体基板上に例えば酸化シリコン(SiO2)からなる下地絶縁膜(配線層間絶縁膜)を形成してなる下地基板1上に、配線間絶縁膜2として、有機系の低誘電材料からなる第1の低誘電材料層2aと、無機系の低誘電材料からなる第2の低誘電材料層2bとを順次積層する。第1の低誘電材料層2aとしては、例えば比誘電率2.4程度のPAE膜を60nmの膜厚で形成する。PAE膜は、PAEの前駆体をスピンコート法により堆積した後、350℃〜450℃の熱キュア処理を行って成膜することができる。もちろん、PAEの前駆体を調整して、多孔質膜にすることも可能である。第1の低誘電材料層2aとしては、上記PAE膜の他に、BCB(Benzocyclobutene)膜、ポリイミド膜等の有機系の低誘電材料膜を用いることができる。また、第1の低誘電材料層2aとして、アモルファスカーボン膜を用いてもよい。 First, as shown in FIG. 1A, a base insulating film (interlayer insulating film) made of, for example, silicon oxide (SiO 2 ) is formed on a semiconductor substrate on which element regions and the like (not shown) are formed. A first low dielectric material layer 2a made of an organic low dielectric material and a second low dielectric material layer 2b made of an inorganic low dielectric material are sequentially formed on the base substrate 1 as an inter-wiring insulating film 2. Laminate. As the first low dielectric material layer 2a, for example, a PAE film having a relative dielectric constant of about 2.4 is formed with a film thickness of 60 nm. The PAE film can be formed by depositing a PAE precursor by a spin coating method and then performing a heat curing process at 350 ° C. to 450 ° C. Of course, it is also possible to prepare a porous film by adjusting the precursor of PAE. As the first low dielectric material layer 2a, an organic low dielectric material film such as a BCB (Benzocyclobutene) film or a polyimide film can be used in addition to the PAE film. An amorphous carbon film may be used as the first low dielectric material layer 2a.

次に、第1の低誘電材料層2a上に、第2の低誘電材料層2bとして、例えば比誘電率2.5〜3程度の例えば炭素含有酸化シリコン(SiOC)膜を100nmの膜厚で形成する。一例として、平行平板型プラズマCVD(Chemical Vapor Deposition)装置を用い、シリコン源として使用するガスには、メチルシランを用いる。また、成膜条件として基板温度を300℃〜400℃、プラズマパワーを100W〜800W、成膜雰囲気の圧力を100Pa〜1350Pa程度に設定する。なお、ここでは、配線層間絶縁膜8をSiOC膜で形成することとしたが、HSQで形成してもよい。その後、第2の低誘電材料層2b上に、第1配線溝パターンが設けられたレジストマスクR1を形成する。 Next, for example, a carbon-containing silicon oxide (SiOC) film having a relative dielectric constant of about 2.5 to 3 is formed on the first low dielectric material layer 2a as the second low dielectric material layer 2b to a thickness of 100 nm. Form. As an example, a parallel plate type plasma CVD (Chemical Vapor Deposition) apparatus is used, and methylsilane is used as a gas used as a silicon source. As film formation conditions, the substrate temperature is set to 300 ° C. to 400 ° C., the plasma power is set to 100 W to 800 W, and the pressure of the film formation atmosphere is set to about 100 Pa to 1350 Pa. Here, although the wiring interlayer insulating film 8 is formed of a SiOC film, it may be formed of HSQ. Then, on the second low dielectric material layer 2b, the first wiring trench pattern to form a resist mask R 1 provided.

次いで、図1(b)に示すように、レジストマスクR1(前記図1(a)参照)を用いて、第1の低誘電材料層2aと第2の低誘電材料層2bとが順次積層された配線間絶縁膜2をエッチングする。まず、第2の低誘電材料層(SiOC)2bをエッチングする際には、一般的なマグネトロン方式のエッチング装置を用い、例えばエッチングガスとしてトリフルオロメタン(CHF3)、テトラフルオロメタン(CF4)、およびアルゴン(Ar)を用いて、ガス流量比(CHF3:CF4:Ar)を1:3:8、バイアスパワーを1300W、基板温度を20℃に設定する。このエッチング条件下では、第1の低誘電材料層2aを構成するPAEに対する第2の低誘電材料層2bを構成するSiOCのエッチング選択比(SiOC/PAE)は3程度になるため、このエッチングにより、第1の低誘電材料層2aを貫通して下地基板1のSiO2膜がエッチングされるようなことは無い。 Next, as shown in FIG. 1B, a first low dielectric material layer 2a and a second low dielectric material layer 2b are sequentially stacked using a resist mask R 1 (see FIG. 1A). The inter-wiring insulating film 2 thus formed is etched. First, when etching the second low dielectric material layer (SiOC) 2b, a general magnetron type etching apparatus is used. For example, trifluoromethane (CHF 3 ), tetrafluoromethane (CF 4 ), And argon (Ar), the gas flow ratio (CHF 3 : CF 4 : Ar) is set to 1: 3: 8, the bias power is set to 1300 W, and the substrate temperature is set to 20 ° C. Under this etching condition, the etching selectivity (SiOC / PAE) of SiOC constituting the second low dielectric material layer 2b to PAE constituting the first low dielectric material layer 2a is about 3, so that this etching The SiO 2 film of the underlying substrate 1 is not etched through the first low dielectric material layer 2a.

続いて、第1の低誘電材料層2aをエッチングして、第1配線溝3を形成する。この場合には、一般的なマグネトロン方式のエッチング装置を用い、例えばエッチングガスとしてアンモニア(NH3)を用い、ガス流量100cm3/min、バイアスパワーを400W、基板温度を20℃に設定する。このエッチング条件下では、下地基板1のSiO2膜に対して、100以上の高選択比(PAE/SiO2)を得ることができるので、上記SiO2膜がエッチングされることは、ほとんど無い。上記の配線間絶縁膜2をエッチングした後、例えばNH3プラズマをベースとしたアッシング処理と有機アミン系の薬液処理を施すことにより、レジストマスクR1およびエッチング処理の際に生じた残留付着物を完全に除去する。 Subsequently, the first low dielectric material layer 2 a is etched to form the first wiring groove 3. In this case, a general magnetron etching apparatus is used. For example, ammonia (NH 3 ) is used as an etching gas, the gas flow rate is 100 cm 3 / min, the bias power is 400 W, and the substrate temperature is 20 ° C. Under this etching condition, a high selectivity (PAE / SiO 2 ) of 100 or more can be obtained with respect to the SiO 2 film of the base substrate 1, so that the SiO 2 film is hardly etched. After the inter-wiring insulating film 2 is etched, for example, an ashing process based on NH 3 plasma and an organic amine-based chemical process are performed to remove the residual mask generated during the resist mask R 1 and the etching process. Remove completely.

その後、図1(c)に示すように、例えばスパッタリング法により、第1配線溝3の内壁を覆う状態で、第1の低誘電材料層2b上に、例えばタンタル(Ta)からなるバリア膜4を10nm程度の膜厚で成膜する。続いて、例えば電解メッキ法またはスパッタリング法により、第1配線溝3を埋め込む状態で、バリア膜4上に例えばCuからなる導電膜(図示省略)を形成する。その後、CMP法により、配線パターンとして不要な導電膜およびバリア膜4を除去し、第2の低誘電材料層2bを露出させる。これにより、第1配線溝3にシングルダマシン構造のCuからなる埋め込み配線(第1配線)5が形成される。この際、配線膜厚が110nmになるように上記CMPの研磨時間を調整する。   Thereafter, as shown in FIG. 1C, the barrier film 4 made of, for example, tantalum (Ta) is formed on the first low dielectric material layer 2b in a state of covering the inner wall of the first wiring groove 3 by, for example, sputtering. Is formed with a film thickness of about 10 nm. Subsequently, a conductive film (not shown) made of Cu, for example, is formed on the barrier film 4 in a state where the first wiring groove 3 is embedded by, for example, electrolytic plating or sputtering. Thereafter, the conductive film and the barrier film 4 which are unnecessary as a wiring pattern are removed by CMP, and the second low dielectric material layer 2b is exposed. Thus, a buried wiring (first wiring) 5 made of Cu having a single damascene structure is formed in the first wiring groove 3. At this time, the polishing time of the CMP is adjusted so that the wiring film thickness becomes 110 nm.

続いて、CMP後に露出した第2の低誘電材料層2bの表面に改質処理を施して、第2の低誘電材料層2bの表面側に改質層6を形成する。改質処理としては、緻密化、窒化、炭化および酸化がある。緻密化方法としては、例えば、ヘリウム(He)プラズマまたはArプラズマによる表面処理、EB−CureまたはUV−Cureからなる硬化処理等、窒化方法としては、NH3プラズマや窒素(N2)プラズマによる表面処理等がある。また、炭化方法としては、メタン(CH4)等のCxy系ガスによるプラズマ処理、酸化方法としては、O2または一酸化二窒素(N2O)によるプラズマ処理等が挙げられる。ここでは、上記改質処理として、第2の低誘電材料層2bの表面に、Heプラズマによる表面処理を行い、第2の低誘電材料層2bの表面側に緻密化された改質層6を形成する。 Subsequently, the surface of the second low dielectric material layer 2b exposed after the CMP is subjected to a modification process to form the modified layer 6 on the surface side of the second low dielectric material layer 2b. The modification treatment includes densification, nitridation, carbonization and oxidation. Examples of the densification method include surface treatment with helium (He) plasma or Ar plasma, curing treatment made of EB-Cure or UV-Cure, and nitridation methods include surface treatment with NH 3 plasma or nitrogen (N 2 ) plasma. There are processing. Further, as carbonization method, plasma treatment with C x H y based gas such as methane (CH 4), as the oxidation method, a plasma treatment, and the like due to O 2 or dinitrogen monoxide (N 2 O). Here, as the above-described modification treatment, the surface of the second low dielectric material layer 2b is subjected to surface treatment with He plasma, and the densified modification layer 6 is formed on the surface side of the second low dielectric material layer 2b. Form.

上記改質層6を形成することで、後工程で改質層6上に形成する配線層間絶縁膜(SiOC)の改質層6に対するエッチング選択比が2程度となり、第2の低誘電材料層(SiOC)2bに対するエッチング選択比よりも大きくなる。これにより、この配線層間絶縁膜に接続孔を形成する際、接続孔と第1配線5とで合わせずれが生じた場合であっても、接続孔底部には上記改質層が露出されるため、スリットの形成が抑制される。この改質層6の膜厚は、改質層6の比誘電率と改質層6上に設けられる配線層間絶縁膜とのエッチング選択比との兼ね合いで決まるが、5nm〜15nm程度の膜厚で形成することが好ましい。   By forming the modified layer 6, the etching selectivity of the wiring interlayer insulating film (SiOC) formed on the modified layer 6 in a later step with respect to the modified layer 6 becomes about 2, and the second low dielectric material layer It becomes larger than the etching selectivity with respect to (SiOC) 2b. As a result, when the connection hole is formed in the wiring interlayer insulating film, even if misalignment occurs between the connection hole and the first wiring 5, the modified layer is exposed at the bottom of the connection hole. The formation of slits is suppressed. The film thickness of the modified layer 6 is determined by the balance between the relative dielectric constant of the modified layer 6 and the etching selectivity with respect to the wiring interlayer insulating film provided on the modified layer 6, but a film thickness of about 5 nm to 15 nm. It is preferable to form by.

続いて、図1(d)に示すように、例えば無電解メッキ法により、例えばコバルトタングステンリン(CoWP)からなるメタルキャップ膜7を第1配線5上に選択的に形成する。この無電解メッキは、Cu酸化物除去、触媒処理、成膜、洗浄のシーケンスで行う。具体的には、第1配線5表面のCu酸化物を除去し、触媒活性の高いパラジウム(Pd)イオンを含んだ溶液を用いて触媒処理を行う。その後、コバルト(Co)イオン、タングステン(W)イオン、次亜リン酸塩を含んだメッキ液で、第1配線5の表面にCoWP膜を選択的に形成する。なお、メッキ液中の次亜リン酸塩は、還元剤として作用し、次亜リン酸塩の酸化反応により放出された電子をCo、W、リン(P)が獲得して共析反応することによりCoWPが成膜される。また、CoWPの膜厚は、数nmから20nm程度であることとする。ただし、CoWPは、等方的に成膜されるため、膜厚が厚いほど、配線間のリーク特性が劣化し易くなることから、5nm程度にすることが望ましい。CoとWとPの組成比は、90%/2%/8%程度になるように上記メッキ液を調整することとする。   Subsequently, as shown in FIG. 1D, a metal cap film 7 made of, for example, cobalt tungsten phosphorus (CoWP) is selectively formed on the first wiring 5 by, for example, an electroless plating method. This electroless plating is performed in the sequence of Cu oxide removal, catalyst treatment, film formation, and cleaning. Specifically, Cu oxide on the surface of the first wiring 5 is removed, and catalytic treatment is performed using a solution containing palladium (Pd) ions having high catalytic activity. Thereafter, a CoWP film is selectively formed on the surface of the first wiring 5 with a plating solution containing cobalt (Co) ions, tungsten (W) ions, and hypophosphite. In addition, hypophosphite in the plating solution acts as a reducing agent, and Co, W, and phosphorus (P) acquire the electrons released by the oxidation reaction of hypophosphite and eutectoidally react. As a result, a CoWP film is formed. The CoWP film thickness is about several nm to 20 nm. However, since CoWP is formed isotropically, the thicker the film thickness, the easier it is for the leak characteristics between the wirings to deteriorate, so about 5 nm is desirable. The plating solution is adjusted so that the composition ratio of Co, W, and P is about 90% / 2% / 8%.

なお、ここでは、メタルキャップ膜7がCoWP膜で構成される例について説明するが、メタルキャップ膜7の膜種は、CoWP膜に限定されず、無電解メッキ法に用いるメッキ液の組成を変えることで、コバルトタングステンボロン(CoWB)、ニッケルモリブデンリン(NiMoP)、ニッケルモリブデンボロン(NiMoB)を用いることも可能である。また、選択CVD法により、例えばWからなるメタルキャップ膜7を形成してもよい。   Here, an example in which the metal cap film 7 is formed of a CoWP film will be described. However, the film type of the metal cap film 7 is not limited to the CoWP film, and the composition of the plating solution used in the electroless plating method is changed. Thus, it is also possible to use cobalt tungsten boron (CoWB), nickel molybdenum phosphorus (NiMoP), or nickel molybdenum boron (NiMoB). Further, the metal cap film 7 made of W, for example, may be formed by selective CVD.

次いで、図2(e)に示すように、メタルキャップ膜7上および改質層6上に無機系の低誘電材料として、例えばSiOCからなる配線層間絶縁膜8を90nmの膜厚で形成する。この際、上述した第2の低誘電材料層(SiOC)2bと同一条件で成膜してもよいが、Poregen等を用いて多孔質化にすることで、第2の低誘電材料層(SiOC)2bよりも比誘電率が低い比誘電率2〜3程度のSiOC層を形成することとする。これにより、後工程で接続孔を形成する際の改質層6に対する配線層間絶縁膜(SiOC)8のエッチング選択比が2.5〜3.5程度とさらに大きくなり、接続孔形成の際の接続孔底部におけるスリットの形成をさらに抑制することができる。   Next, as shown in FIG. 2E, a wiring interlayer insulating film 8 made of, for example, SiOC is formed on the metal cap film 7 and the modified layer 6 as an inorganic low dielectric material with a film thickness of 90 nm. At this time, the film may be formed under the same conditions as those of the second low dielectric material layer (SiOC) 2b described above. However, the second low dielectric material layer (SiOC) can be made porous by using Poregen or the like. ) An SiOC layer having a relative dielectric constant of about 2 to 3 lower than that of 2b is formed. As a result, the etching selectivity of the wiring interlayer insulating film (SiOC) 8 with respect to the modified layer 6 when forming the connection hole in a later step is further increased to about 2.5 to 3.5, and the connection hole is formed. The formation of slits at the bottom of the connection hole can be further suppressed.

次に、図2(f)に示すように、接続孔パターンを有するレジストマスクR2を配線層間絶縁膜8上に形成する。続いて、図2(g)に示すように、このレジストマスクR2(前記図2(f)参照)をエッチングマスクとしたドライエッチング法により、配線層間絶縁膜8をエッチングして、メタルキャップ膜7の表面を露出させる接続孔9を開口する。この際、上述したように、配線層間絶縁膜8は多孔質化されており、配線層間絶縁膜8と第2の低誘電率層2bの間には改質層6が設けられていることから、接続孔9と第1配線5とで合わせずれが生じた場合でも、配線層間絶縁膜8のエッチング選択比が高くなり、接続孔9の底部のスリットの形成が抑制される。 Next, as shown in FIG. 2F, a resist mask R 2 having a connection hole pattern is formed on the wiring interlayer insulating film 8. Subsequently, as shown in FIG. 2G, the wiring interlayer insulating film 8 is etched by a dry etching method using the resist mask R 2 (see FIG. 2F) as an etching mask, so that a metal cap film is formed. A connection hole 9 exposing the surface of 7 is opened. At this time, as described above, the wiring interlayer insulating film 8 is made porous, and the modified layer 6 is provided between the wiring interlayer insulating film 8 and the second low dielectric constant layer 2b. Even when misalignment occurs between the connection hole 9 and the first wiring 5, the etching selectivity of the wiring interlayer insulating film 8 is increased, and the formation of the slit at the bottom of the connection hole 9 is suppressed.

この配線層間絶縁膜8のエッチングは、例えばエッチングガスとしてCHF3、O2およびArを用い、ガス流量比(CHF3:O2:Ar)を5:1:50、バイアスパワーを1000W、基板温度を40℃に設定して行われる。その後、例えばNH3プラズマをベースとしたアッシング処理と有機アミン系の薬液処理を施すことにより、レジストマスクR2及びエッチング処理の際に生じた残留付着物を完全に除去する。 The wiring interlayer insulating film 8 is etched using, for example, CHF 3 , O 2 and Ar as etching gases, a gas flow ratio (CHF 3 : O 2 : Ar) of 5: 1: 50, a bias power of 1000 W, and a substrate temperature. Is set to 40 ° C. Thereafter, for example, an ashing process based on NH 3 plasma and an organic amine chemical solution process are performed to completely remove the resist mask R 2 and the residual deposits generated during the etching process.

次いで、この図に示すように、例えばスパッタリング法により、接続孔9の内壁を覆う状態で、配線層間絶縁膜8上に、例えばTaからなるバリア膜10を成膜する。続いて、例えば電解メッキ法またはスパッタリング法により、上記接続孔9を埋め込む状態で、バリア膜10上に例えばCuからなる導電膜11’を形成する。   Next, as shown in this figure, a barrier film 10 made of, for example, Ta is formed on the wiring interlayer insulating film 8 so as to cover the inner wall of the connection hole 9 by, eg, sputtering. Subsequently, a conductive film 11 ′ made of, for example, Cu is formed on the barrier film 10 in a state where the connection hole 9 is embedded, for example, by electrolytic plating or sputtering.

その後、図2(h)に示すように、CMP法により、配線パターンとして不要な導電膜11’(前記図2(g)参照)およびバリア膜10を除去し、配線層間絶縁膜8を露出させる。これにより、接続孔9にバリア膜10を介してCuからなるヴィア11が形成される。この際、ヴィア11の高さが80nmとなるように、CMPの研磨時間を調整する。   Thereafter, as shown in FIG. 2H, the conductive film 11 ′ unnecessary as a wiring pattern (see FIG. 2G) and the barrier film 10 are removed by CMP to expose the wiring interlayer insulating film 8. . As a result, vias 11 made of Cu are formed in the connection holes 9 via the barrier film 10. At this time, the polishing time of CMP is adjusted so that the height of the via 11 becomes 80 nm.

続いて、例えば無電解メッキ法により、ヴィア11上に例えばCoWPからなるメタルキャップ膜12を選択的に形成する。ここで、メタルキャップ膜12の成膜は、上述したメタルキャップ膜7と同一条件で行うこととする。   Subsequently, a metal cap film 12 made of, for example, CoWP is selectively formed on the via 11 by, for example, an electroless plating method. Here, the metal cap film 12 is formed under the same conditions as the metal cap film 7 described above.

この後の工程は、図1(a)〜図2(h)を用いて説明した工程と同様であるため、各膜の成膜条件やエッチング条件等は省略する。すなわち、図3(i)に示すように、メタルキャップ膜12上および配線層間絶縁膜8上に、配線間絶縁膜13として、有機系の低誘電材料からなる第1の低誘電材料層13aと、無機系の低誘電材料からなる第2の低誘電材料層13bとを順次積層する。ここでは、第1の低誘電材料層13aとして、例えばPAE膜を65nmの膜厚で形成し、第2の低誘電材料層13bとして、例えばSiOC膜を100nmの膜厚で形成する。次に、図3(j)に示すように、第2の低誘電材料層13b上に、第2配線溝パターンが設けられたレジストマスクR3を形成する。 Since the subsequent steps are the same as those described with reference to FIGS. 1A to 2H, the film forming conditions and etching conditions of each film are omitted. That is, as shown in FIG. 3I, the first low dielectric material layer 13a made of an organic low dielectric material is formed on the metal cap film 12 and the wiring interlayer insulating film 8 as the inter-wiring insulating film 13. Then, a second low dielectric material layer 13b made of an inorganic low dielectric material is sequentially laminated. Here, as the first low dielectric material layer 13a, for example, a PAE film is formed with a film thickness of 65 nm, and as the second low dielectric material layer 13b, for example, a SiOC film is formed with a film thickness of 100 nm. Next, as shown in FIG. 3 (j), on the second low dielectric material layer 13b, the second wiring groove pattern to form a resist mask R 3 provided.

次いで、図3(k)に示すように、レジストマスクR3(前記図3(j)参照)を用いて、第1の低誘電材料層13aと第2の低誘電材料層13bとが順次積層された配線間絶縁膜13をエッチングし、配線間絶縁膜13に、メタルキャップ膜12および配線層間絶縁膜8に達する第2配線溝14を形成する。この際、配線層間絶縁膜8に対する第1の低誘電材料層13aのエッチング選択比(PAE/SiOC)は100以上の高選択比となるため、配線層間絶縁膜8がエッチングされることは、ほとんど無い。これにより、第2配線溝14の開口幅およびウエハ面内における第2配線溝14の位置によらず、均一な深さの第2配線溝14を形成することが可能である。 Next, as shown in FIG. 3 (k), the first low dielectric material layer 13a and the second low dielectric material layer 13b are sequentially stacked using the resist mask R 3 (see FIG. 3 (j)). The inter-wiring insulating film 13 thus etched is etched to form a second wiring groove 14 reaching the metal cap film 12 and the wiring interlayer insulating film 8 in the inter-wiring insulating film 13. At this time, since the etching selection ratio (PAE / SiOC) of the first low dielectric material layer 13a to the wiring interlayer insulating film 8 is a high selection ratio of 100 or more, the wiring interlayer insulating film 8 is hardly etched. No. Thus, the second wiring groove 14 having a uniform depth can be formed regardless of the opening width of the second wiring groove 14 and the position of the second wiring groove 14 in the wafer surface.

その後、例えばNH3プラズマをベースとしたアッシング処理と有機アミン系の薬液処理を施すことにより、レジストマスクR3およびエッチング処理の際に生じた残留付着物を完全に除去する。 Thereafter, for example, an ashing process based on NH 3 plasma and an organic amine chemical solution process are performed to completely remove the resist mask R 3 and the residual deposits generated during the etching process.

次いで、図4(l)に示すように、例えばスパッタリング法により、第2配線溝14の内壁を覆う状態で、第2の低誘電材料層13b上に例えばTaからなるバリア膜15を成膜する。続いて、例えば電解メッキ法またはスパッタリング法により、第2配線溝14を埋め込む状態で、バリア膜15上に例えばCuからなる導電膜16’を形成する。   Next, as shown in FIG. 4L, a barrier film 15 made of Ta, for example, is formed on the second low dielectric material layer 13b so as to cover the inner wall of the second wiring groove 14 by, for example, sputtering. . Subsequently, a conductive film 16 ′ made of Cu, for example, is formed on the barrier film 15 in a state where the second wiring groove 14 is embedded by, for example, electrolytic plating or sputtering.

その後、図4(m)に示すように、CMP法により、配線パターンとして不要な導電膜16’(前記図4(l)参照)およびバリア膜15を除去し、第2の低誘電材料層13bを露出させる。これにより、第2配線溝14にシングルダマシン構造のCuからなる埋め込み配線(第2配線)16が形成される。この際、配線膜厚が115nmになるように上記CMPの研磨時間を調整する。続いて、CMP後に露出した第2の低誘電材料層13bの表面に改質処理を施して、第2の低誘電材料層13bの表面側に改質層17を形成する。次いで、第2配線16上に、選択的にCOWPからなるメタルキャップ膜18を形成する。   Thereafter, as shown in FIG. 4 (m), the conductive film 16 ′ (see FIG. 4 (l)) and the barrier film 15 which are not necessary as a wiring pattern are removed by CMP to remove the second low dielectric material layer 13b. To expose. Thus, a buried wiring (second wiring) 16 made of Cu having a single damascene structure is formed in the second wiring trench 14. At this time, the polishing time of the CMP is adjusted so that the wiring film thickness becomes 115 nm. Subsequently, the surface of the second low dielectric material layer 13b exposed after CMP is subjected to a modification process to form a modified layer 17 on the surface side of the second low dielectric material layer 13b. Next, a metal cap film 18 made of COWP is selectively formed on the second wiring 16.

このような半導体装置の製造方法および半導体装置によれば、配線間絶縁膜2、13と配線層間絶縁膜8とが低誘電材料で構成されることから、配線間と配線層間の実効的な比誘電率が低減されるため、配線間容量を低減することができる。また、無機系の低誘電材料からなる配線層間絶縁膜(SiOC)8上に有機系の低誘電材料からなる第1の低誘電材料層(PAE)13aが形成されるため、配線層間絶縁膜(SiOC)8に対する第1の低誘電材料層(PAE)13aのエッチング選択比が高くなる。これにより、配線層間絶縁膜8に第2配線溝14を形成する際、第2配線溝14の開口幅やウエハ面内の位置によって、第2配線溝14が異なる深さに掘り込まれることが防止され、均一な深さの第2配線溝14を加工制御性よく形成することができる。したがって、配線抵抗と配線間容量のばらつきを抑制することができる。以上のことから、デバイス性能を向上させることができる。   According to such a semiconductor device manufacturing method and semiconductor device, since the inter-wiring insulating films 2 and 13 and the inter-wiring interlayer insulating film 8 are made of a low dielectric material, an effective ratio between the inter-wiring and inter-wiring layers is obtained. Since the dielectric constant is reduced, the capacitance between wirings can be reduced. In addition, since the first low dielectric material layer (PAE) 13a made of an organic low dielectric material is formed on the wiring interlayer insulation film (SiOC) 8 made of an inorganic low dielectric material, the wiring interlayer insulating film ( The etching selectivity of the first low dielectric material layer (PAE) 13a with respect to (SiOC) 8 is increased. Thereby, when the second wiring groove 14 is formed in the wiring interlayer insulating film 8, the second wiring groove 14 may be dug to a different depth depending on the opening width of the second wiring groove 14 or the position in the wafer surface. Thus, the second wiring groove 14 having a uniform depth can be formed with good process controllability. Therefore, variations in wiring resistance and wiring capacitance can be suppressed. From the above, device performance can be improved.

また、配線間絶縁膜2の上層側を構成する第2の低誘電材料層2bの表面に改質処理を施し、改質層6を形成することで、改質層6上に形成される配線層間絶縁膜8とのエッチング選択比が高くなることから、接続孔9を形成する際、第1配線5と接続孔9とで合わせずれが生じたとしても、接続孔9底部のスリットの形成を抑制することができる。したがって、このスリットに起因する接続孔9への導電膜11’の埋め込み不良を防止することができる。   Further, the surface of the second low dielectric material layer 2b constituting the upper layer side of the inter-wiring insulating film 2 is subjected to a modification process to form the modified layer 6, whereby the wiring formed on the modified layer 6 Since the etching selectivity with the interlayer insulating film 8 is high, even when misalignment occurs between the first wiring 5 and the connection hole 9 when the connection hole 9 is formed, a slit at the bottom of the connection hole 9 is formed. Can be suppressed. Therefore, it is possible to prevent a defective filling of the conductive film 11 ′ into the connection hole 9 due to the slit.

さらに、配線層間絶縁膜8を多孔質化されたSiOC膜で形成することから、上記改質層6に対する配線層間絶縁膜8のエッチング選択比がさらに高くなる。これにより、接続孔9底部のスリットの形成をさらに抑制することができる。   Further, since the wiring interlayer insulating film 8 is formed of a porous SiOC film, the etching selectivity of the wiring interlayer insulating film 8 with respect to the modified layer 6 is further increased. Thereby, formation of the slit of the connection hole 9 bottom part can further be suppressed.

(第2実施形態)
次に、本発明の半導体装置の製造方法にかかる第2の実施形態について、図5の製造工
程断面図を用いて説明する。なお、第1実施形態と同様の構成には同一の番号を付して説
明し、詳細な説明は省略する。また、第1実施形態において図1(a)〜図1(b)を用いて説明した、下地基板1上に設けられた配線間絶縁膜2に第1配線溝3を形成する工程までは、第1実施形態と同様に行うこととする。
(Second Embodiment)
Next, a second embodiment of the method for manufacturing a semiconductor device according to the present invention will be described with reference to the manufacturing process sectional view of FIG. In addition, the same number is attached | subjected and demonstrated to the structure similar to 1st Embodiment, and detailed description is abbreviate | omitted. In addition, until the step of forming the first wiring groove 3 in the inter-wiring insulating film 2 provided on the base substrate 1 described with reference to FIGS. 1A to 1B in the first embodiment, Suppose that it carries out similarly to 1st Embodiment.

まず、図5(a)に示すように、スパッタリング法等の物理的気相成長(Physical Vapor Deposition(PVD))法により、第1配線溝3の内壁を覆う状態で、第2の低誘電材料層2b上に、2atomic%程度のマンガン(Mn)を含有するCu−Mn合金からなるシード層21を形成する。   First, as shown in FIG. 5A, the second low-dielectric material is formed so as to cover the inner wall of the first wiring groove 3 by a physical vapor deposition (PVD) method such as a sputtering method. A seed layer 21 made of a Cu—Mn alloy containing about 2 atomic% manganese (Mn) is formed on the layer 2b.

次に、図5(b)に示すように、例えば電解メッキ法により、第1配線溝3を埋め込む状態で、シード層21(前記図5(a)参照)上に、例えばCuからなる導電膜11’を1000nm堆積する。その後、酸素雰囲気下で300℃30分の熱処理を行うことで、シード層21中のMnを配線間絶縁膜2の構成材料(例えばSi、Oまたは膜中の水分)と反応させて、第1配線溝3の内壁に、Mn化合物(例えば、MnSixy、MnOz)からなる自己形成バリア膜22を2nm〜3nm程度の膜厚で形成する。この際、自己形成バリア膜22の形成に必要なMn以外の過剰なMnの一部は、導電膜11’の表面で雰囲気中の酸素と反応し、MnO膜22’が形成される。 Next, as shown in FIG. 5B, a conductive film made of, for example, Cu is formed on the seed layer 21 (see FIG. 5A) in a state where the first wiring groove 3 is embedded by, for example, electrolytic plating. 11 'is deposited to 1000 nm. Thereafter, heat treatment is performed at 300 ° C. for 30 minutes in an oxygen atmosphere to cause Mn in the seed layer 21 to react with the constituent material of the inter-wiring insulating film 2 (for example, Si, O or moisture in the film), thereby A self-forming barrier film 22 made of a Mn compound (for example, MnSi x O y , MnO z ) is formed on the inner wall of the wiring trench 3 with a film thickness of about 2 nm to 3 nm. At this time, a part of excess Mn other than Mn necessary for the formation of the self-forming barrier film 22 reacts with oxygen in the atmosphere on the surface of the conductive film 11 ′ to form the MnO film 22 ′.

次いで、図5(c)に示すように、CMP法により、上記MnO膜22’(前記図5(b)参照)とともに、配線パターンとして不要な導電膜11’(前記図5(b)参照)および自己形成バリア膜22を除去し、第2の低誘電材料層2bを露出させる。これにより、第1配線溝3に上記自己形成バリア膜22を介して第1配線5を形成する。その後、第1実施形態と同様に、第2の低誘電材料層2bの表面に改質処理を施して、第2の低誘電材料層2bの表面側に改質層6を形成する。   Next, as shown in FIG. 5C, the CMP method is used together with the MnO film 22 ′ (see FIG. 5B) and the conductive film 11 ′ unnecessary as a wiring pattern (see FIG. 5B). Then, the self-forming barrier film 22 is removed, and the second low dielectric material layer 2b is exposed. As a result, the first wiring 5 is formed in the first wiring trench 3 through the self-forming barrier film 22. Thereafter, as in the first embodiment, the surface of the second low dielectric material layer 2b is subjected to a modification treatment to form the modified layer 6 on the surface side of the second low dielectric material layer 2b.

次いで、図5(d)に示すように、第1実施形態と同様に、第1配線5上に選択的に、CoWP膜からなるメタルキャップ膜7を形成する。   Next, as shown in FIG. 5D, similarly to the first embodiment, a metal cap film 7 made of a CoWP film is selectively formed on the first wiring 5.

この後の工程は、図2(e)〜図4(m)を用いて説明した第1実施形態と同様に行うことで、図5(e)に示すような多層配線構造を形成する。ただし、接続孔9および第2配線溝14の内壁には、バリア膜10(前記図2(g)参照)、バリア膜15(前記図4(l)参照)は形成せずに、上述した自己形成バリア膜22と同様の方法により、Mn化合物からなる自己形成バリア膜23、24をそれぞれ形成する。   Subsequent steps are performed in the same manner as in the first embodiment described with reference to FIGS. 2E to 4M to form a multilayer wiring structure as shown in FIG. However, the barrier film 10 (see FIG. 2 (g)) and the barrier film 15 (see FIG. 4 (l)) are not formed on the inner walls of the connection hole 9 and the second wiring trench 14, but the above-described self Self-formed barrier films 23 and 24 made of a Mn compound are formed by the same method as the formation barrier film 22, respectively.

このような半導体装置の製造方法および半導体装置であっても、配線間絶縁膜2、13と配線層間絶縁膜8とが低誘電材料で構成されることから、配線間と配線層間の実効的な比誘電率が低減される。また、配線層間絶縁膜(SiOC)8上に第1の低誘電材料層(PAE)13aが形成されるため、配線層間絶縁膜(SiOC)8に対する第1の低誘電材料層(PAE)13aのエッチング選択比が高くなり、均一な深さの第2配線溝14を加工制御性よく形成することができる。さらに、第2の低誘電材料層2bの表面に改質層6を形成し、多孔質化されたSiOC膜で配線層間絶縁膜8を形成することから、接続孔9の形成の際に接続孔9の底部のスリットの形成が抑制される。したがって、第1実施形態と同様の効果を奏することができる。   Even in such a method of manufacturing a semiconductor device and a semiconductor device, since the inter-wiring insulating films 2 and 13 and the inter-wiring interlayer insulating film 8 are made of a low dielectric material, effective between the inter-wiring and inter-wiring layers can be achieved. The relative dielectric constant is reduced. Further, since the first low dielectric material layer (PAE) 13a is formed on the wiring interlayer insulating film (SiOC) 8, the first low dielectric material layer (PAE) 13a with respect to the wiring interlayer insulating film (SiOC) 8 is formed. The etching selectivity is increased, and the second wiring groove 14 having a uniform depth can be formed with good process controllability. Further, since the modified layer 6 is formed on the surface of the second low dielectric material layer 2b and the wiring interlayer insulating film 8 is formed of a porous SiOC film, the connection hole 9 is formed when the connection hole 9 is formed. The formation of slits at the bottom of 9 is suppressed. Therefore, the same effect as that of the first embodiment can be obtained.

また、第1配線溝3、接続孔9、第2配線溝14の内壁を覆うバリア膜として、Mn化合物からなる自己形成バリア膜22、23、24を形成することで、第1実施形態よりもバリア膜を薄くすることができるため、配線抵抗を低減することができる。   In addition, as a barrier film covering the inner walls of the first wiring groove 3, the connection hole 9, and the second wiring groove 14, self-forming barrier films 22, 23, and 24 made of a Mn compound are formed, so that the first embodiment can be improved. Since the barrier film can be thinned, the wiring resistance can be reduced.

(第3実施形態)
次に、本発明の半導体装置の製造方法にかかる第3の実施形態について、図6の製造工
程断面図を用いて説明する。なお、第2実施形態と同様の構成には同一の番号を付して説
明し、詳細な説明は省略する。また、第2実施形態において、図5(a)〜図5(c)を用いて説明した、下地基板1上に設けられた配線間絶縁膜2に第1配線溝3を形成し、第1配線溝3に自己形成バリア膜22を介して第1配線5を形成した後、第2の低誘電材料層2bの表面側に改質層6を形成する工程までは、第2実施形態と同様であることとする。
(Third embodiment)
Next, a third embodiment of the method for manufacturing a semiconductor device of the present invention will be described with reference to the manufacturing process sectional view of FIG. In addition, the same number is attached | subjected and demonstrated to the structure similar to 2nd Embodiment, and detailed description is abbreviate | omitted. Further, in the second embodiment, the first wiring groove 3 is formed in the inter-wiring insulating film 2 provided on the base substrate 1 described with reference to FIGS. The process from the formation of the first wiring 5 in the wiring groove 3 through the self-formed barrier film 22 to the step of forming the modified layer 6 on the surface side of the second low dielectric material layer 2b is the same as in the second embodiment. Suppose that

まず、図6(a)に示すように、第1配線5上および改質層6上に、CVD法により、配線層間絶縁膜(SiOC)8を形成する。この際、成膜時の熱により、第1配線溝3の内壁を覆う状態で設けられたCuMn合金からなるシード層21(前記図5(a)参照)から第1配線5中に拡散されたMnが、配線層間絶縁膜8の構成材料(例えば、Si、Oまたは膜中の水分)と反応することで、第1配線5の表面にMn化合物からなる自己形成バリア膜25が形成される。その後、自己形成バリア膜25を確実に形成するため、300℃30分程度の熱処理を行う。これにより、自己形成バリア膜25が2nm〜3nmの膜厚で形成される。   First, as shown in FIG. 6A, a wiring interlayer insulating film (SiOC) 8 is formed on the first wiring 5 and the modified layer 6 by the CVD method. At this time, it was diffused into the first wiring 5 from the seed layer 21 (see FIG. 5A) made of a CuMn alloy provided so as to cover the inner wall of the first wiring groove 3 by heat during film formation. When Mn reacts with the constituent material of the wiring interlayer insulating film 8 (for example, Si, O or moisture in the film), a self-formed barrier film 25 made of a Mn compound is formed on the surface of the first wiring 5. Thereafter, heat treatment is performed at 300 ° C. for about 30 minutes in order to reliably form the self-forming barrier film 25. Thereby, the self-forming barrier film 25 is formed with a film thickness of 2 nm to 3 nm.

次いで、図6(b)に示すように、配線層間絶縁膜8に第1配線5に達する接続孔9を形成する。このエッチングにより、上記自己形成バリア膜25の膜厚は薄いため、除去される。   Next, as shown in FIG. 6B, a connection hole 9 reaching the first wiring 5 is formed in the wiring interlayer insulating film 8. By this etching, the self-formed barrier film 25 is removed because it is thin.

この後の工程は、図5(e)を用いて説明した第2実施形態と同様に行う。ただし、ヴィア11上にメタルキャップ膜12(前記図5(e)参照)は形成せずに、自己形成バリア膜25と同様の方法により、ヴィア11の表面に自己形成バリア膜(図示省略)を形成する。また、ここでの図示は省略するが、第2配線16上および配線間絶縁膜13上に、例えばSiOCからなる配線層間絶縁膜を形成し、熱処理を行うことで、第2配線16の表面に自己形成バリア膜(図示省略)を形成する。   The subsequent steps are performed in the same manner as in the second embodiment described with reference to FIG. However, the metal cap film 12 (see FIG. 5E) is not formed on the via 11, and a self-formed barrier film (not shown) is formed on the surface of the via 11 by the same method as the self-formed barrier film 25. Form. Although illustration is omitted here, a wiring interlayer insulating film made of, for example, SiOC is formed on the second wiring 16 and the inter-wiring insulating film 13, and heat treatment is performed, so that the surface of the second wiring 16 is formed. A self-forming barrier film (not shown) is formed.

このような半導体装置の製造方法および半導体装置であっても、配線間絶縁膜2、13と配線層間絶縁膜8とが低誘電材料で構成されることから、配線間と配線層間の実効的な比誘電率が低減される。また、配線層間絶縁膜(SiOC)8上に第1の低誘電材料層(PAE)13aが形成されるため、配線層間絶縁膜(SiOC)8に対する第1の低誘電材料層(PAE)13aのエッチング選択比が高くなり、均一な深さの第2配線溝14を加工制御性よく形成することができる。さらに、第2の低誘電材料層2bの表面側に改質層6を形成し、多孔質化されたSiOC膜で配線層間絶縁膜8を形成することから、接続孔9の底部のスリットの形成が抑制される。また、Mn化合物からなる自己形成バリア膜22、23、24を形成することで、第1実施形態よりもバリア膜を薄くすることができるため、配線抵抗を低減することができる。したがって、第2実施形態と同様の効果を奏することができる。   Even in such a method of manufacturing a semiconductor device and a semiconductor device, since the inter-wiring insulating films 2 and 13 and the inter-wiring interlayer insulating film 8 are made of a low dielectric material, effective between the inter-wiring and inter-wiring layers can be achieved. The relative dielectric constant is reduced. Further, since the first low dielectric material layer (PAE) 13a is formed on the wiring interlayer insulating film (SiOC) 8, the first low dielectric material layer (PAE) 13a with respect to the wiring interlayer insulating film (SiOC) 8 is formed. The etching selectivity is increased, and the second wiring groove 14 having a uniform depth can be formed with good process controllability. Further, since the modified layer 6 is formed on the surface side of the second low dielectric material layer 2b, and the wiring interlayer insulating film 8 is formed of the porous SiOC film, the slit at the bottom of the connection hole 9 is formed. Is suppressed. In addition, by forming the self-formed barrier films 22, 23, and 24 made of the Mn compound, the barrier film can be made thinner than in the first embodiment, so that the wiring resistance can be reduced. Therefore, the same effect as in the second embodiment can be obtained.

さらに、本実施形態の半導体装置の製造方法および半導体装置によれば、バリア膜を介さずに第1配線5、ヴィア11および第2配線16が連続した状態で形成される。これにより、第2実施形態の半導体装置と比較して、配線抵抗を低減することができるとともに、EM耐性やSM耐性等の配線信頼性を向上させることができる。   Furthermore, according to the semiconductor device manufacturing method and the semiconductor device of the present embodiment, the first wiring 5, the via 11, and the second wiring 16 are formed continuously without using a barrier film. Thereby, compared to the semiconductor device of the second embodiment, the wiring resistance can be reduced, and the wiring reliability such as EM resistance and SM resistance can be improved.

(第4実施形態)
次に、本発明の半導体装置の製造方法にかかる第4実施形態について、図7の製造工程断面図を用いて説明する。上述した第1実施形態〜第3実施形態の半導体装置の製造方法では、配線間絶縁膜と配線層間絶縁膜との間にエッチングストパー膜が設けられていない例について説明したが、部分的にエッチングストッパー膜が設けられていても、本発明は適用可能である。ここでは、第3実施形態において図6(b)を用いて説明した配線間絶縁膜2と配線層間絶縁膜8との間および配線間絶縁膜13上にエッチングストッパー膜が設けられる例について説明する。
(Fourth embodiment)
Next, a fourth embodiment of the method for manufacturing a semiconductor device of the present invention will be described with reference to the manufacturing process sectional view of FIG. In the semiconductor device manufacturing method according to the first to third embodiments described above, the example in which the etching stopper film is not provided between the inter-wiring insulating film and the inter-wiring interlayer insulating film has been described. The present invention is applicable even if a stopper film is provided. Here, an example in which an etching stopper film is provided between the inter-wiring insulating film 2 and the inter-wiring interlayer insulating film 8 and on the inter-wiring insulating film 13 described with reference to FIG. 6B in the third embodiment will be described. .

なお、第3実施形態と同様の構成には同一の番号を付して説明し、詳細な説明は省略する。また、第3実施形態において図6(a)を用いて説明した、下地基板1上に設けられた配線間絶縁膜2に第1配線溝3を形成し、第1配線溝3に自己形成バリア膜22を介して第1配線5を形成する工程までは、第3実施形態と同様に行うこととする。   In addition, the same number is attached | subjected and demonstrated to the structure similar to 3rd Embodiment, and detailed description is abbreviate | omitted. In addition, the first wiring groove 3 is formed in the inter-wiring insulating film 2 provided on the base substrate 1 described with reference to FIG. 6A in the third embodiment, and the self-forming barrier is formed in the first wiring groove 3. The process up to forming the first wiring 5 via the film 22 is performed in the same manner as in the third embodiment.

図7(a)に示すように、第1配線5を形成した後、第1配線5上および第2の低誘電率層2b上に、例えば比誘電率3.5〜5程度のSiC膜からなるエッチングストッパー膜26を30nmの膜厚で形成する。このSiC膜を成膜する際には、一例として、平行平板型プラズマCVD装置を用い、シリコン源として使用するガスには、メチルシランを用いる。また、成膜条件として基板温度を300℃〜400℃、プラズマパワーを150W〜300W、成膜雰囲気の圧力を100Pa〜1000Pa程度に設定する。   As shown in FIG. 7A, after the first wiring 5 is formed, an SiC film having a relative dielectric constant of about 3.5 to 5 is formed on the first wiring 5 and the second low dielectric constant layer 2b. The etching stopper film 26 to be formed is formed with a film thickness of 30 nm. When forming this SiC film, for example, a parallel plate type plasma CVD apparatus is used, and methylsilane is used as a gas used as a silicon source. As film formation conditions, the substrate temperature is set to 300 ° C. to 400 ° C., the plasma power is set to 150 W to 300 W, and the pressure of the film formation atmosphere is set to about 100 Pa to 1000 Pa.

この際、第3実施形態と同様に、エッチングストッパー膜26の成膜時の熱により、第1配線5中に拡散されたMnがエッチングストッパー膜26の構成材料と反応し、第1配線5の表面にMn化合物からなる自己形成バリア膜25が形成される。なお、ここでは、第2の低誘電材料層2b上に上記エッチングストッパー膜26が設けられるため、第2の低誘電材料層2bの表面側に改質層6(前記図5(c)参照)を形成しなくてもよい。   At this time, similarly to the third embodiment, Mn diffused in the first wiring 5 reacts with the constituent material of the etching stopper film 26 due to heat at the time of forming the etching stopper film 26, and the first wiring 5 A self-forming barrier film 25 made of a Mn compound is formed on the surface. Here, since the etching stopper film 26 is provided on the second low dielectric material layer 2b, the modified layer 6 (see FIG. 5C) on the surface side of the second low dielectric material layer 2b. May not be formed.

次いで、図7(b)に示すように、エッチングストッパー膜26上に配線層間絶縁膜8を形成する。この際、第1実施形態では、配線層間絶縁膜8として、多孔質化したSiOC膜を形成することで、改質層6(前記図6(a)参照)に対する配線層間絶縁膜8のエッチング選択比が高くなるようにしたが、この場合には、第2の低誘電材料層2b上に上記エッチングストッパー膜26が設けられるため、配線層間絶縁膜8に用いるSiOC膜を多孔質化しなくてもよい。   Next, as shown in FIG. 7B, the wiring interlayer insulating film 8 is formed on the etching stopper film 26. At this time, in the first embodiment, a porous SiOC film is formed as the wiring interlayer insulating film 8, thereby selecting the etching of the wiring interlayer insulating film 8 with respect to the modified layer 6 (see FIG. 6A). In this case, since the etching stopper film 26 is provided on the second low dielectric material layer 2b, the SiOC film used for the wiring interlayer insulating film 8 need not be made porous. Good.

続いて、エッチングによりエッチングストッパー膜26に達する状態の接続孔9を形成し、接続孔9の底部のエッチングストッパー膜26をエッチング除去して、第1配線5の表面を露出させる。このエッチングにより、第1配線5の表面に設けられた自己形成バリア膜25は除去される。この後の工程は、第3実施形態において、図6(b)を用いて説明した工程と同様に行うこととする。ただし、第2配線16上および第2の低誘電材料層13b上には、例えばSiCからなるエッチングストッパー膜27を30nmの膜厚で形成する。なお、この場合には、第2の低誘電材料層13bの表面側に改質層17(前記図6(b)参照)を形成しなくてもよい。   Subsequently, the connection hole 9 that reaches the etching stopper film 26 is formed by etching, and the etching stopper film 26 at the bottom of the connection hole 9 is removed by etching to expose the surface of the first wiring 5. By this etching, the self-formed barrier film 25 provided on the surface of the first wiring 5 is removed. The subsequent steps are performed in the same manner as the steps described with reference to FIG. 6B in the third embodiment. However, an etching stopper film 27 made of, for example, SiC is formed with a thickness of 30 nm on the second wiring 16 and the second low dielectric material layer 13b. In this case, the modified layer 17 (see FIG. 6B) may not be formed on the surface side of the second low dielectric material layer 13b.

このような半導体装置の製造方法および半導体装置によれば、配線間絶縁膜2と配線層間絶縁膜8との間および配線間絶縁膜13上に比誘電率3.5〜5程度のSiCからなるエッチングストッパー膜26、27が形成されるため、第1実施形態〜第3実施形態における半導体装置よりも配線間および配線層間の実効的な比誘電率は高くなる。しかし、配線間絶縁膜2、13と配線層間絶縁膜8とが低誘電材料で構成されるとともに、配線層間絶縁膜8と配線間絶縁膜13との間にはエッチングストッパー膜は形成されないため、背景技術で図8を用いて説明した従来の半導体装置と比較して、上記実効的な比誘電率を低減することができる。   According to such a method of manufacturing a semiconductor device and the semiconductor device, SiC having a relative dielectric constant of about 3.5 to 5 is formed between the inter-wiring insulating film 2 and the inter-wiring insulating film 8 and on the inter-wiring insulating film 13. Since the etching stopper films 26 and 27 are formed, the effective relative dielectric constant between the wirings and between the wiring layers is higher than that of the semiconductor device in the first to third embodiments. However, since the inter-wiring insulating films 2 and 13 and the inter-wiring insulating film 8 are made of a low dielectric material, and no etching stopper film is formed between the inter-wiring insulating film 8 and the inter-wiring insulating film 13. Compared with the conventional semiconductor device described with reference to FIG. 8 in the background art, the effective relative dielectric constant can be reduced.

また、配線層間絶縁膜(SiOC)8上に第1の低誘電材料層(PAE)13aが形成されるため、配線層間絶縁膜(SiOC)8に対する第1の低誘電材料層(PAE)13aのエッチング選択比が高くなり、均一な深さの第2配線溝14を加工制御性よく形成することができる。さらに、Mn化合物からなる自己形成バリア膜22、23、24を形成することで、配線抵抗を低減することができる。   Further, since the first low dielectric material layer (PAE) 13a is formed on the wiring interlayer insulating film (SiOC) 8, the first low dielectric material layer (PAE) 13a with respect to the wiring interlayer insulating film (SiOC) 8 is formed. The etching selectivity is increased, and the second wiring groove 14 having a uniform depth can be formed with good process controllability. Furthermore, the wiring resistance can be reduced by forming the self-forming barrier films 22, 23, and 24 made of a Mn compound.

また、バリア膜を介さずに第1配線5、ヴィア11および第2配線16が連続した状態で形成されることから、第2実施形態の半導体装置と比較して、配線抵抗を低減することができるとともに、EM耐性やSM耐性等の配線信頼性を向上させることができる。   In addition, since the first wiring 5, the via 11, and the second wiring 16 are formed continuously without using a barrier film, the wiring resistance can be reduced as compared with the semiconductor device of the second embodiment. In addition, wiring reliability such as EM resistance and SM resistance can be improved.

本発明の半導体装置の製造方法に係る第1実施形態を説明するための製造工程断面図(その1)である。FIG. 6 is a manufacturing process cross-sectional view (No. 1) for describing the first embodiment of the semiconductor device manufacturing method of the present invention; 本発明の半導体装置の製造方法に係る第1実施形態を説明するための製造工程断面図(その2)である。FIG. 6 is a manufacturing process sectional view (No. 2) for describing the first embodiment of the manufacturing method of the semiconductor device of the invention; 本発明の半導体装置の製造方法に係る第1実施形態を説明するための製造工程断面図(その3)である。It is manufacturing process sectional drawing (the 3) for demonstrating 1st Embodiment which concerns on the manufacturing method of the semiconductor device of this invention. 本発明の半導体装置の製造方法に係る第1実施形態を説明するための製造工程断面図(その4)である。FIG. 7 is a manufacturing process cross-sectional view (No. 4) for describing the first embodiment of the manufacturing method of the semiconductor device of the invention; 本発明の半導体装置の製造方法に係る第2実施形態を説明するための製造工程断面図である。It is manufacturing process sectional drawing for demonstrating 2nd Embodiment which concerns on the manufacturing method of the semiconductor device of this invention. 本発明の半導体装置の製造方法に係る第3実施形態を説明するための製造工程断面図である。It is manufacturing process sectional drawing for demonstrating 3rd Embodiment which concerns on the manufacturing method of the semiconductor device of this invention. 本発明の半導体装置の製造方法に係る第4実施形態を説明するための製造工程断面図である。It is manufacturing process sectional drawing for demonstrating 4th Embodiment which concerns on the manufacturing method of the semiconductor device of this invention. 従来の半導体装置の製造方法を説明するための製造工程断面図である。It is manufacturing process sectional drawing for demonstrating the manufacturing method of the conventional semiconductor device. 従来の半導体装置の製造方法における課題を説明するための製造工程断面図である。It is manufacturing process sectional drawing for demonstrating the subject in the manufacturing method of the conventional semiconductor device.

符号の説明Explanation of symbols

1…下地基板、2,13…配線間絶縁膜、2a,13a…第1の低誘電材料層、2b,13b…第2の低誘電材料層、3…第1配線溝、5…第1配線、6,17…改質層、7、12、18…メタルキャップ膜、8…配線層間絶縁膜、9…接続孔、11…ヴィア、14…第2配線溝、16…第2配線   DESCRIPTION OF SYMBOLS 1 ... Base substrate, 2, 13 ... Inter-wiring insulating film, 2a, 13a ... 1st low dielectric material layer, 2b, 13b ... 2nd low dielectric material layer, 3 ... 1st wiring groove, 5 ... 1st wiring 6, 17 ... modified layer, 7, 12, 18 ... metal cap film, 8 ... wiring interlayer insulating film, 9 ... connection hole, 11 ... via, 14 ... second wiring groove, 16 ... second wiring

Claims (11)

低誘電材料で構成された配線間絶縁膜と配線層間絶縁膜とを備えた半導体装置の製造方法であって、
下地基板上に、有機系の低誘電材料またはアモルファスカーボンで構成された第1の低誘電材料層と無機系の低誘電材料で構成された第2の低誘電材料層とを順次積層してなる配線間絶縁膜を形成した後、当該配線間絶縁膜に前記下地基板に達する配線溝を形成し、この配線溝に導電膜を埋め込んで配線を形成する第1の工程と、
下地基板上に、無機系の低誘電材料からなる配線層間絶縁膜を形成した後、当該配線層間絶縁膜に前記下地基板に達する接続孔を形成し、この接続孔に導電膜を埋め込んでヴィアを形成する第2の工程とを有し、
前記第1の工程および前記第2の工程のいずれかを先に行う
ことを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device comprising an inter-wiring insulating film and an inter-wiring insulating film made of a low dielectric material,
A first low dielectric material layer composed of an organic low dielectric material or amorphous carbon and a second low dielectric material layer composed of an inorganic low dielectric material are sequentially laminated on a base substrate. Forming a wiring groove reaching the base substrate in the inter-wiring insulating film after forming the inter-wiring insulating film, and embedding a conductive film in the wiring groove to form a wiring;
After forming a wiring interlayer insulating film made of an inorganic low dielectric material on the base substrate, a connection hole reaching the base substrate is formed in the wiring interlayer insulating film, and a conductive film is buried in the connection hole to form a via. A second step of forming,
Either of the first step and the second step is performed first. A method for manufacturing a semiconductor device.
請求項1記載の半導体装置の製造方法において、
前記第1の工程の後に、前記第2の工程を行い、
前記第1の工程と前記第2の工程の間に、前記第2の低誘電材料層の表面に改質処理を行うことで、当該第2の低誘電材料層の表面側に改質層を形成する工程を行う
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
After the first step, perform the second step,
By performing a modification process on the surface of the second low dielectric material layer between the first step and the second step, a modified layer is formed on the surface side of the second low dielectric material layer. A method for manufacturing a semiconductor device, comprising performing a forming step.
請求項1記載の半導体装置の製造方法において、
前記第1の工程の後に、前記第2の工程を行い、
前記第2の工程では、前記第2の低誘電材料層に対する前記配線層間絶縁膜のエッチング選択比が高くなるように、前記配線層間絶縁膜を形成する
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
After the first step, perform the second step,
In the second step, the wiring interlayer insulating film is formed so that an etching selectivity of the wiring interlayer insulating film with respect to the second low dielectric material layer is increased.
請求項1記載の半導体装置の製造方法において、
前記第2の工程の後に、連続して前記第1の工程を行い、
前記第1の工程では、前記ヴィア上および前記配線層間絶縁膜上に、前記第1の低誘電材料層を形成する
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
After the second step, the first step is continuously performed,
In the first step, the first low dielectric material layer is formed on the via and on the wiring interlayer insulating film. A method of manufacturing a semiconductor device, comprising:
請求項1記載の半導体装置の製造方法において、
前記第1の工程と前記第2の工程とを交互に繰り返して行う
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The method for manufacturing a semiconductor device, wherein the first step and the second step are alternately repeated.
請求項1記載の半導体装置の製造方法において、
前記第1の工程の後および前記第2の工程の後に、
前記配線上または前記ヴィア上に、選択的にメタルキャップ膜を形成する工程を行う
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
After the first step and after the second step,
A method of manufacturing a semiconductor device, comprising: selectively forming a metal cap film on the wiring or the via.
請求項1記載の半導体装置の製造方法において、
前記第1の工程では、前記配線溝を形成した後、当該配線溝の内壁を覆う状態で、前記導電膜を構成する導電材料と当該導電材料以外の金属とからなる合金膜を形成し、この合金膜上に前記導電膜を埋め込むことで、前記配線溝に、前記金属と前記配線間絶縁膜の構成材料とを反応させてなるバリア膜を介して前記配線を形成するとともに、
前記第2の工程では、前記接続孔を形成した後、当該接続孔の内壁を覆う状態で、前記導電膜を構成する導電材料と当該導電材料以外の金属とからなる合金膜を形成し、この合金膜を介して前記導電膜を埋め込むことで、前記接続孔に、前記金属と前記配線層間絶縁膜の構成材料とを反応させてなるバリア膜を介して前記ヴィアを形成する
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
In the first step, after forming the wiring groove, an alloy film made of a conductive material constituting the conductive film and a metal other than the conductive material is formed in a state of covering the inner wall of the wiring groove. By embedding the conductive film on the alloy film, the wiring is formed in the wiring groove via a barrier film obtained by reacting the metal and the constituent material of the inter-wiring insulating film,
In the second step, after forming the connection hole, an alloy film made of a conductive material constituting the conductive film and a metal other than the conductive material is formed in a state of covering the inner wall of the connection hole. By burying the conductive film through an alloy film, the via is formed in the connection hole through a barrier film formed by reacting the metal and the constituent material of the wiring interlayer insulating film. A method for manufacturing a semiconductor device.
請求項7記載の半導体装置の製造方法において、
前記第1の工程の後に、連続して前記第2の工程を行い、
前記第2の工程では、前記配線上および前記第2の低誘電材料層上に、前記配線層間絶縁膜を形成し、前記配線の表面に、前記合金膜中の前記金属と前記配線層間絶縁膜の構成材料とを反応させてなる前記バリア膜を形成する
ことを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 7.
After the first step, perform the second step continuously,
In the second step, the wiring interlayer insulating film is formed on the wiring and the second low dielectric material layer, and the metal in the alloy film and the wiring interlayer insulating film are formed on the surface of the wiring. A method of manufacturing a semiconductor device, comprising: forming the barrier film by reacting with a constituent material of:
請求項7記載の半導体装置の製造方法において、
前記第2の工程の後に、連続して前記第1の工程を行い、
前記第1の工程では、前記ヴィア上および前記配線層間絶縁膜上に、前記第1の低誘電材料層を形成し、前記ヴィアの表面に、前記合金膜中の前記金属と当該第1の低誘電材料層の構成材料とを反応させてなる前記バリア膜を形成する
ことを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 7.
After the second step, the first step is continuously performed,
In the first step, the first low dielectric material layer is formed on the via and on the wiring interlayer insulating film, and the metal in the alloy film and the first low dielectric material layer are formed on the surface of the via. A method of manufacturing a semiconductor device, comprising: forming the barrier film by reacting with a constituent material of a dielectric material layer.
低誘電材料で構成された配線間絶縁膜と配線層間絶縁膜とを備えた半導体装置であって、
有機系の低誘電材料またはアモルファスカーボンで構成された第1の低誘電材料層と無機系の低誘電材料で構成された第2の低誘電材料層とを順次積層してなる配線間絶縁膜を貫通する状態で配線が設けられた第1の層と、
無機系の低誘電材料からなる配線層間絶縁膜を貫通する状態でヴィアが設けられた第2の層とを備え、
下地基板上に、前記配線と前記ヴィアとを連通させる状態で、前記第1の層と前記第2の層とが積層されている
ことを特徴とする半導体装置。
A semiconductor device comprising an inter-wiring insulating film and an inter-wiring insulating film made of a low dielectric material,
An inter-wiring insulating film formed by sequentially laminating a first low dielectric material layer composed of an organic low dielectric material or amorphous carbon and a second low dielectric material layer composed of an inorganic low dielectric material A first layer provided with wiring in a penetrating state;
A second layer provided with vias penetrating through a wiring interlayer insulating film made of an inorganic low dielectric material,
The semiconductor device, wherein the first layer and the second layer are stacked on a base substrate in a state where the wiring and the via communicate with each other.
請求項10記載の半導体装置において、
前記第1の層上に前記第2の層が設けられており、
前記第1の層を構成する前記第2の低誘電材料層の表面側には、改質処理により形成された改質層が設けられている
ことを特徴とする半導体装置。
The semiconductor device according to claim 10.
The second layer is provided on the first layer;
A semiconductor device, wherein a modified layer formed by a modification process is provided on a surface side of the second low dielectric material layer constituting the first layer.
JP2005350176A 2005-12-05 2005-12-05 Method of manufacturing semiconductor device, and semiconductor device Pending JP2007157959A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005350176A JP2007157959A (en) 2005-12-05 2005-12-05 Method of manufacturing semiconductor device, and semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005350176A JP2007157959A (en) 2005-12-05 2005-12-05 Method of manufacturing semiconductor device, and semiconductor device

Publications (1)

Publication Number Publication Date
JP2007157959A true JP2007157959A (en) 2007-06-21

Family

ID=38241937

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005350176A Pending JP2007157959A (en) 2005-12-05 2005-12-05 Method of manufacturing semiconductor device, and semiconductor device

Country Status (1)

Country Link
JP (1) JP2007157959A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009096264A1 (en) * 2008-01-28 2009-08-06 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device, electronic device, semiconductor manufacturing apparatus and storage medium
WO2010047038A1 (en) * 2008-10-22 2010-04-29 パナソニック株式会社 Semiconductor device and method for making same
JP2010171063A (en) * 2009-01-20 2010-08-05 Kobe Steel Ltd Method of manufacturing semiconductor wiring
JP2010283136A (en) * 2009-06-04 2010-12-16 Toshiba Corp Method of manufacturing semiconductor device
US8614510B2 (en) 2009-03-19 2013-12-24 Kabushiki Kaisha Toshiba Semiconductor device including a metal wiring with a metal cap

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009096264A1 (en) * 2008-01-28 2009-08-06 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device, electronic device, semiconductor manufacturing apparatus and storage medium
US8247321B2 (en) 2008-01-28 2012-08-21 Tokyo Electron Limited Method of manufacturing semiconductor device, semiconductor device, electronic instrument, semiconductor manufacturing apparatus, and storage medium
WO2010047038A1 (en) * 2008-10-22 2010-04-29 パナソニック株式会社 Semiconductor device and method for making same
JP2010171063A (en) * 2009-01-20 2010-08-05 Kobe Steel Ltd Method of manufacturing semiconductor wiring
US8614510B2 (en) 2009-03-19 2013-12-24 Kabushiki Kaisha Toshiba Semiconductor device including a metal wiring with a metal cap
JP2010283136A (en) * 2009-06-04 2010-12-16 Toshiba Corp Method of manufacturing semiconductor device
US20130309866A1 (en) * 2009-06-04 2013-11-21 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
US8993440B2 (en) 2009-06-04 2015-03-31 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with processes carried out without atmospheric exposure

Similar Documents

Publication Publication Date Title
JP4177993B2 (en) Semiconductor device and manufacturing method thereof
TWI291742B (en) Reliability improvement of SiOC etch stop with trimethylsilane gas passivation in Cu damascene interconnects
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US7507659B2 (en) Fabrication process of a semiconductor device
CN100479146C (en) Interconnection structure and forming method thereof
JP2007173511A (en) Method for fabricating a semiconductor device
JP2012038961A (en) Semiconductor device and method of manufacturing the same
JP2007281114A (en) Method of manufacturing semiconductor device, and semiconductor device
WO2006046487A1 (en) Semiconductor device and semiconductor device manufacturing method
KR101354126B1 (en) Semiconductor device and method for manufacturing semiconductor device
JP2009182000A (en) Semiconductor device and manufacturing method therefor
JP2008010534A (en) Semiconductor device and manufacturing method thereof
JP2007027347A (en) Semiconductor device and manufacturing method thereof
JP2007294625A (en) Manufacturing method of semiconductor device
JP2007157959A (en) Method of manufacturing semiconductor device, and semiconductor device
JP2004014901A (en) Semiconductor device and its manufacturing method
JP4692319B2 (en) Manufacturing method of semiconductor device
JP2006196642A (en) Semiconductor device and its manufacturing method
JP4279195B2 (en) Semiconductor device
JP4447433B2 (en) Semiconductor device manufacturing method and semiconductor device
US20050112863A1 (en) Method for fabricating semiconductor device
JP4797821B2 (en) Manufacturing method of semiconductor device
JP2009117673A (en) Semiconductor device and manufacturing method thereof
KR100483838B1 (en) Dual damascene process of metal wire
KR100512051B1 (en) Method of forming a metal line in semiconductor device