JP2007115797A - Substrate processing apparatus, substrate processing method, program, and recording medium having program - Google Patents

Substrate processing apparatus, substrate processing method, program, and recording medium having program Download PDF

Info

Publication number
JP2007115797A
JP2007115797A JP2005303940A JP2005303940A JP2007115797A JP 2007115797 A JP2007115797 A JP 2007115797A JP 2005303940 A JP2005303940 A JP 2005303940A JP 2005303940 A JP2005303940 A JP 2005303940A JP 2007115797 A JP2007115797 A JP 2007115797A
Authority
JP
Japan
Prior art keywords
substrate
film
silicon
processed
silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005303940A
Other languages
Japanese (ja)
Other versions
JP5046506B2 (en
JP2007115797A5 (en
Inventor
Kunihiro Tada
國弘 多田
Kensaku Narishima
健索 成嶋
Satoru Wakabayashi
哲 若林
Susumu Yamauchi
晋 山内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005303940A priority Critical patent/JP5046506B2/en
Priority to PCT/JP2006/318333 priority patent/WO2007046204A1/en
Priority to TW95138422A priority patent/TWI443719B/en
Publication of JP2007115797A publication Critical patent/JP2007115797A/en
Publication of JP2007115797A5 publication Critical patent/JP2007115797A5/ja
Application granted granted Critical
Publication of JP5046506B2 publication Critical patent/JP5046506B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment

Abstract

<P>PROBLEM TO BE SOLVED: To provide a substrate processing apparatus or the like which can form a Ti silicide film having a more flat and uniform boundary face with an underlying, and can form a contact with lower resistance as a result. <P>SOLUTION: The substrate processing apparatus 100 is provided with a first common conveyance chamber 102 connected to processing chambers 104A-104D in common, and a second common conveyance chamber 120 connected to processing chambers 104E and 104F. The processing chambers 104E, 104F, 104A, 104C, and 104B are provided as a COR processing chamber wherein foreign matters including a natural oxide film on an Si wafer and gas element are chemically reacted with each other to produce a product, a PHT processing chamber to remove the product generated on the Si wafer by heating, a Ti film formation chamber to form a Ti film on the Si surface of the Si wafer, a silicide formation chamber to cause silication reaction between the Ti film and the base so as to form a Ti silicide film, and a TiN film formation chamber to form a TiN film on the Ti silicide film. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は,例えばSi基板や金属シリサイド層等のSi含有表面上に金属シリサイド膜などの合金膜を形成する基板処理を行う基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体に関する。   The present invention relates to a substrate processing apparatus, a substrate processing method, a program, and a recording medium on which a program is recorded, for performing substrate processing for forming an alloy film such as a metal silicide film on a Si-containing surface such as a Si substrate or a metal silicide layer.

CMOSトランジスタなどの半導体デバイスでは,配線層と基板,配線層と配線層などの接続構造を有する。具体的には例えば図30に示すようにSi基板(Siウエハ)のp/n不純物拡散層(拡散層)10と接続するコンタクトホール20,上下の配線層間を接続するビアホール30がある。このようなコンタクト20及びビアホール30には,タングステンや銅などの金属が埋め込まれ,Si基板や配線層が電気的に接続される。近年では,この金属の埋め込みに先立って,コンタクト20及びビアホール30にTiN膜またはTi/TiN積層膜などのバリア膜を成膜し,バリア層22,32を形成する。   A semiconductor device such as a CMOS transistor has a connection structure including a wiring layer and a substrate and a wiring layer and a wiring layer. Specifically, for example, as shown in FIG. 30, there are a contact hole 20 connected to the p / n impurity diffusion layer (diffusion layer) 10 of the Si substrate (Si wafer) and a via hole 30 connecting the upper and lower wiring layers. Such contacts 20 and via holes 30 are filled with a metal such as tungsten or copper, and are electrically connected to a Si substrate or a wiring layer. In recent years, a barrier film such as a TiN film or a Ti / TiN laminated film is formed on the contact 20 and the via hole 30 before the metal is buried, and the barrier layers 22 and 32 are formed.

ところで,最近の半導体デバイスの高集積化に伴って,特にコンタクトホールは口径と深さの比であるアスペクト比が極めて大きくなってきている。このため,上述したようなTiN膜などのバリア層の形成には,ステップカバレッジの良いCVD(化学的蒸着)法が採用されている。   By the way, with the recent high integration of semiconductor devices, especially the contact hole has an extremely large aspect ratio, which is the ratio between the diameter and the depth. For this reason, a CVD (chemical vapor deposition) method with good step coverage is employed to form a barrier layer such as the TiN film as described above.

また,拡散層10とのコンタクト抵抗を下げるためには,例えばバリア層22と拡散層10との間にTiSi(チタンシリサイド)などの材料層を介させて,バリア層22と拡散層10との界面における仕事関数を調節することにより,その仕事関数差に基づくショットキー障壁を低くすることが望ましい。   Further, in order to reduce the contact resistance with the diffusion layer 10, for example, a material layer such as TiSi (titanium silicide) is interposed between the barrier layer 22 and the diffusion layer 10, so that the barrier layer 22 and the diffusion layer 10 are connected. It is desirable to lower the Schottky barrier based on the work function difference by adjusting the work function at the interface.

このような材料層,例えばTiSi膜12の形成にもCVD−Tiが用いられている。例えばTiSi膜12を形成するには,原料ガスとしてTiClを用いるとともに,還元ガスとしてHガス等を用いて,温度650℃程度でTi膜を成膜し,同時にその一部をSi基板と反応させ自己整合的にTiSi膜12を形成していた。 CVD-Ti is also used to form such a material layer, for example, the TiSi film 12. For example, in order to form the TiSi film 12, a Ti film is formed at a temperature of about 650 ° C. using TiCl 4 as a source gas and H 2 gas as a reducing gas, and a part of the TiSi film 12 is simultaneously formed with a Si substrate. The TiSi film 12 was formed by self-alignment by reaction.

一方,このようなバリア層形成などの金属成膜処理を施す場合には,良好なコンタクト抵抗を得るために,金属成膜処理に先立ってその金属膜の下地となる下地表面(例えばコンタクトホールの底部に露出するSi表面)に存在する自然酸化膜やコンタクトホールの形成時に導入されたエッチング残渣などの異物を除去する処理が施される。   On the other hand, when a metal film forming process such as barrier layer formation is performed, in order to obtain a good contact resistance, a base surface (for example, a contact hole) which becomes the base of the metal film prior to the metal film forming process is obtained. A treatment is performed to remove foreign matters such as a natural oxide film existing on the bottom (Si surface exposed at the bottom) and an etching residue introduced when the contact hole is formed.

このような異物の除去は,従来から希フッ酸(HF)などによるウエット洗浄処理によって行われてきた(例えば非特許文献1参照)。また,近年では自然酸化膜を除去する装置として水素ガスとアルゴンガスなどを用いて誘導結合プラズマを形成するものも提案されている(例えば特許文献1,2参照)。このようなウエット洗浄処理や誘導結合プラズマを形成する処理では,異物除去後にSi基板が大気に暴露され,Si表面に自然酸化膜が再成長してしまうという問題がある。   Such removal of foreign substances has been conventionally performed by wet cleaning treatment with dilute hydrofluoric acid (HF) or the like (see, for example, Non-Patent Document 1). In recent years, as an apparatus for removing a natural oxide film, an apparatus that forms inductively coupled plasma using hydrogen gas, argon gas, or the like has been proposed (see, for example, Patent Documents 1 and 2). In such a wet cleaning process or a process for forming inductively coupled plasma, there is a problem that the Si substrate is exposed to the atmosphere after removing foreign substances, and a natural oxide film is regrown on the Si surface.

このため,近年では,基板処理装置に複数の処理室を設け,ある処理室で誘導結合プラズマを用いたスパッタエッチングによってSi基板表面の自然酸化膜などの異物を除去し,そのSi基板をそのまま真空中で搬送して別の成膜処理室に搬入し,連続的に金属成膜を実行する方法も提案されている。   For this reason, in recent years, a substrate processing apparatus is provided with a plurality of processing chambers, in which a foreign substance such as a natural oxide film on the surface of the Si substrate is removed by sputter etching using inductively coupled plasma, and the Si substrate is vacuumed as it is. There has also been proposed a method of carrying a metal film into a separate film forming chamber and continuously performing metal film formation.

特開2002−124485号公報JP 2002-124485 A 特開2001−244214号公報JP 2001-244214 A T.Teraji and S.Hara,"Control of interface states atmetal/6H-SiC(0001)interfaces",Phys.Rev.B70,035312(2004).T. Teraji and S. Hara, "Control of interface states atmetal / 6H-SiC (0001) interfaces", Phys. Rev. B70, 035312 (2004).

しかしながら,半導体デバイスの微細化が一層進むにつれて,例えば上記不純物拡散層10の深さも浅くなり,またコンタクトホール20のアスペクト比もより一層大きくなる傾向にある。   However, as the semiconductor device is further miniaturized, for example, the depth of the impurity diffusion layer 10 becomes shallower and the aspect ratio of the contact hole 20 tends to become larger.

このため,上述したような従来の異物除去方法では,コンタクトホールの底部に露出するSi表面を十分にクリーニングすることは困難であり,例えばコンタクトホールの底部に自然酸化膜が除去されずに一部残ってしまう。   For this reason, it is difficult to sufficiently clean the Si surface exposed at the bottom of the contact hole in the conventional foreign matter removing method as described above. For example, the natural oxide film is not removed at the bottom of the contact hole. It will remain.

また,上記のプラズマを用いるクリーニング方法では,コンタクトホールの底部を十分エッチングするためにSi基板へのバイアス電圧を上げると,イオン衝撃によって浅い拡散層に損傷を生じたり,コンタクトホールの開口部の肩部が削れてコンタクトホールの底部に再度絶縁物が付着してしまったりすることもある。   In the above cleaning method using plasma, if the bias voltage to the Si substrate is increased to sufficiently etch the bottom of the contact hole, the shallow diffusion layer may be damaged by ion bombardment, or the shoulder of the contact hole opening. The part may be shaved and the insulator may adhere to the bottom of the contact hole again.

このように,Si基板のSi表面に自然酸化膜などの異物が一部が残ったり,異物が再付着したりしたまま,その上に金属膜を成膜すると,その異物がSi表面との密接な接触を防げる。この状態で,例えば金属とSiとの珪化反応を進行させるために熱処理温度を上げると,金属とSi表面との反応が不均一となるため,その金属とSi表面との間に形成される金属シリサイドとその下地のSiとの界面のラフネスが増大してしまう。さらに,従来のように,金属成膜と同時に下地のSiとの金属シリサイドを形成させると,珪化反応が制御できず,金属シリサイドとその下地のSiとの界面のラフネスはさらに増大してしまう。   As described above, when a metal film is formed on the Si surface of the Si substrate while a foreign material such as a natural oxide film remains on the surface or the foreign material is reattached, the foreign material is in close contact with the Si surface. To prevent unwanted contact. In this state, for example, if the heat treatment temperature is raised in order to advance the silicidation reaction between the metal and Si, the reaction between the metal and the Si surface becomes non-uniform, so that the metal formed between the metal and the Si surface. The roughness of the interface between the silicide and the underlying Si increases. Further, if a metal silicide is formed with the underlying Si simultaneously with the metal film formation as in the prior art, the silicidation reaction cannot be controlled, and the roughness of the interface between the metal silicide and the underlying Si is further increased.

上記のように,金属シリサイドとその下地のSiとの界面のラフネスが増大すると,拡散層12内の所望の位置に界面を形成できなくなって,コンタクト抵抗が上がってしまったり,金属シリサイド層12の一部が拡散層12を突き抜けて接合リーク電流が増えたり,接合が破壊されたりするなどの問題が生じる。   As described above, when the roughness of the interface between the metal silicide and the underlying Si increases, it becomes impossible to form an interface at a desired position in the diffusion layer 12 and the contact resistance increases. A part of the material penetrates the diffusion layer 12 to increase the leakage current of the junction or break the junction.

また,近年では,コンタクトホールを形成する前に,浅い拡散層の高抵抗を補償するためCoSiやNiSiなどの金属シリサイド膜で拡散層をカバーする裏打ち技術が用いられている。ところが,これらの金属シリサイド膜からなる裏打ち層はSiを含むため,大気に暴露すると,その裏打ち層表面に自然酸化膜が成長してしまう。また,Si表面と同様に良好なコンタクト抵抗を得るためには,コンタクトホールの底部に露出する裏打ち層の表面上の異物を除去することが望ましい。さらに,その裏打ち層上に成膜した金属と裏打ち層との合金化反応により形成される合金膜(例えばTi−Co膜,Ti−Ni膜など)は均一に合金化されることがより望ましい。   In recent years, a backing technique is used in which a diffusion layer is covered with a metal silicide film such as CoSi or NiSi before the contact hole is formed in order to compensate for the high resistance of the shallow diffusion layer. However, since the backing layer made of these metal silicide films contains Si, a natural oxide film grows on the surface of the backing layer when exposed to the atmosphere. Further, in order to obtain a good contact resistance like the Si surface, it is desirable to remove foreign matters on the surface of the backing layer exposed at the bottom of the contact hole. Furthermore, it is more desirable that the alloy film (for example, Ti—Co film, Ti—Ni film, etc.) formed by the alloying reaction between the metal deposited on the backing layer and the backing layer is alloyed uniformly.

そこで,本発明は,このような問題に鑑みてなされたもので,その目的とするところは,被処理基板(例えばSi基板)において露出するシリコン含有表面に下地との界面がより平坦(フラット)で均一な合金膜を形成することができ,これにより一層低抵抗なコンタクト構造を形成することがきる基板処理装置等を提供することにある。   Therefore, the present invention has been made in view of such a problem, and an object of the present invention is to make the interface between the substrate and the silicon-containing surface exposed in the substrate to be processed (eg, Si substrate) flatter (flat). It is an object of the present invention to provide a substrate processing apparatus and the like that can form a uniform alloy film and thereby can form a contact structure with lower resistance.

上記課題を解決するために,本発明のある観点によれば,被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,前記複数の処理室は,前記被処理基板において露出するシリコン含有表面上の異物を除去する異物除去処理室と,前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理室と,前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との反応を起こさせることによって合金膜を形成する合金化処理室とを含むことを特徴とする基板処理装置が提供される。   In order to solve the above-described problems, according to one aspect of the present invention, a plurality of processing chambers for performing a predetermined process on a substrate to be processed, a common transfer chamber commonly connected to these processing chambers, and the common transfer A substrate processing apparatus having a vacuum processing apparatus provided with a transport mechanism for transporting the substrate to be processed provided in a chamber, wherein the plurality of processing chambers are on a silicon-containing surface exposed in the substrate to be processed. A foreign matter removal treatment chamber for removing foreign matter, a metal film deposition treatment chamber for supplying a metal-containing source gas onto the substrate to be treated, and forming a metal film on the silicon-containing surface from which the foreign matter has been removed; There is provided a substrate processing apparatus including an alloying chamber for forming an alloy film by heat-treating the substrate to be processed to cause a reaction between the metal film and the silicon-containing surface.

この場合,上記異物除去処理室は,前記被処理基板上に励起ガスを供給し,前記シリコン含有表面上の前記異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室との2つの処理室により構成することが好ましい。上記合金膜は,例えば金属シリサイド膜であり,前記合金化処理室は,例えば前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との反応を起こさせることによって金属シリサイド膜を形成するシリサイド形成処理室である。この場合,上記金属膜成膜処理室は,前記金属膜の成膜処理を,前記金属膜のシリサイド相が形成されない程度の温度範囲(例えば580℃未満)で実行し,前記シリサイド形成処理室は,前記金属膜の熱処理を,前記金属膜のシリサイド相が形成される程度の温度範囲(例えば580℃以上)で実行することが好ましい。   In this case, the foreign matter removal processing chamber supplies an excitation gas onto the substrate to be processed, and generates a product by chemically reacting the foreign matter on the silicon-containing surface with a gas component of the excitation gas. It is preferable that the apparatus includes two processing chambers: a product generation processing chamber and a product removal processing chamber for sublimating and removing the product on the silicon-containing surface by heat-treating the substrate to be processed. The alloy film is, for example, a metal silicide film, and the alloying chamber forms a metal silicide film by causing a reaction between the metal film and the silicon-containing surface by, for example, heat-treating the substrate to be processed. This is a silicide formation processing chamber. In this case, the metal film deposition process chamber performs the metal film deposition process within a temperature range (eg, less than 580 ° C.) that does not form a silicide phase of the metal film. The heat treatment of the metal film is preferably performed in a temperature range (for example, 580 ° C. or higher) to the extent that a silicide phase of the metal film is formed.

上記課題を解決するために,本発明の別の観点によれば,被処理基板のシリコン含有表面上に合金膜を形成する基板処理装置の基板処理方法であって,前記被処理基板において露出するシリコン含有表面上の異物を除去する異物除去処理工程と,前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理工程と,前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との反応を起させることによって合金膜を形成する合金化処理工程と,
を前記基板処理装置内で連続して実行することを特徴とする基板処理方法が提供される。
In order to solve the above problems, according to another aspect of the present invention, there is provided a substrate processing method for a substrate processing apparatus for forming an alloy film on a silicon-containing surface of a substrate to be processed, which is exposed on the substrate to be processed. A foreign matter removing process for removing foreign matter on the silicon-containing surface, and a metal film for forming a metal film on the silicon-containing surface from which the foreign matter has been removed by supplying a metal-containing source gas onto the substrate to be processed A film forming process, and an alloying process for forming an alloy film by heat-treating the substrate to be processed to cause a reaction between the metal film and the silicon-containing surface;
Is continuously performed in the substrate processing apparatus. A substrate processing method is provided.

この場合,上記異物除去処理工程は,前記被処理基板上に励起ガスを供給し,前記シリコン含有表面上の前記異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理工程と,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理工程とを連続して実行することが好ましい。なお,上記合金膜は,例えば金属シリサイド膜であり,前記合金化処理工程は,例えば前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との反応を起こさせることによって金属シリサイド膜を形成するシリサイド形成処理工程である。この場合,上記金属膜成膜処理工程は,前記金属膜の成膜処理を,前記金属膜のシリサイド相が形成されない程度の温度範囲(例えば580℃未満)で実行し,前記シリサイド形成処理工程は,前記金属膜の熱処理を,前記金属膜のシリサイド相が形成される程度の温度範囲(例えば580℃以上)で実行することが好ましい。   In this case, the foreign matter removing treatment step is for supplying an excitation gas onto the substrate to be processed, and generating a product by chemically reacting the foreign matter on the silicon-containing surface with a gas component of the excitation gas. It is preferable to continuously execute a product generation processing step and a product removal processing step for sublimating and removing the product on the silicon-containing surface by heat-treating the substrate to be processed. The alloy film is, for example, a metal silicide film. In the alloying process, for example, the substrate to be processed is heat-treated to cause a reaction between the metal film and the silicon-containing surface. This is a silicide formation processing step to be formed. In this case, in the metal film deposition process step, the metal film deposition process is performed in a temperature range (for example, less than 580 ° C.) at which the silicide phase of the metal film is not formed. The heat treatment of the metal film is preferably performed in a temperature range (for example, 580 ° C. or higher) to the extent that a silicide phase of the metal film is formed.

このような本発明にかかる装置又は方法によれば,基板処理装置内で異物除去処理の後に,金属膜成膜処理,シリサイド形成処理を連続して実行することができるので,金属膜成膜処理前に被処理基板のシリコン含有部分に自然酸化膜が新たに形成されることを防止することができる。このように,被処理基板において露出するシリコン含有表面上の異物を確実に除去することができるので,シリコン含有表面の異物によって金属膜の均一な合金化(例えばシリサイド化)が阻害されることを防止することができるので,シリコン含有表面上に,下地との界面が平坦(フラット)な金属シリサイド膜を形成することができる。これにより一層低抵抗なコンタクトを形成することがきる。   According to such an apparatus or method according to the present invention, the metal film forming process and the silicide forming process can be continuously performed after the foreign substance removing process in the substrate processing apparatus. It is possible to prevent a natural oxide film from being newly formed on the silicon-containing portion of the substrate to be processed before. In this way, the foreign matter on the silicon-containing surface exposed in the substrate to be processed can be reliably removed, so that the uniform alloying (for example, silicidation) of the metal film is hindered by the foreign matter on the silicon-containing surface. Therefore, a metal silicide film having a flat interface with the base can be formed on the silicon-containing surface. As a result, a contact with lower resistance can be formed.

また,上記金属膜成膜処理は,前記被処理基板上に前記金属含有原料ガスを供給して前記シリコン含有表面上に前記金属膜の吸着反応を生じさせる工程と,還元ガスを供給して前記シリコン含有表面上に吸着した前記金属膜を還元する工程とを複数回繰返すことにより,前記金属膜を成膜することが好ましい。これによれば,異物除去処理によって被処理基板のシリコン含有表面上に異物がない状態で,連続して例えばALD−Ti膜成膜処理を行うことができるため,このALD−Ti膜成膜処理によって原子配列を制御しながらTi膜を堆積させることによって,より平坦で均一な膜を形成できる。さらに,被処理基板を熱処理してTi膜とその下地となるシリコンとの間で珪化反応を起こさせるので,Tiシリサイド膜のシリコン含有表面に対する膜厚均一性を原子レベルで制御できる。また,ALD−Ti膜成膜処理によれば,原子レベルでTi膜の膜厚を自由に制御できるので,ひいてはTiシリサイド膜(チタンシリサイド膜)の膜厚も自由に制御できる。   Further, the metal film forming process includes a step of supplying the metal-containing source gas onto the substrate to be processed to cause an adsorption reaction of the metal film on the silicon-containing surface, and a reducing gas to supply the metal film. It is preferable to form the metal film by repeating the step of reducing the metal film adsorbed on the silicon-containing surface a plurality of times. According to this, the ALD-Ti film forming process can be performed continuously, for example, in a state where there is no foreign object on the silicon-containing surface of the substrate to be processed by the foreign substance removing process. By depositing the Ti film while controlling the atomic arrangement, a flatter and more uniform film can be formed. Furthermore, since the substrate to be processed is heat-treated to cause a silicidation reaction between the Ti film and the underlying silicon, the film thickness uniformity of the Ti silicide film with respect to the silicon-containing surface can be controlled at the atomic level. Further, according to the ALD-Ti film forming process, the film thickness of the Ti film can be freely controlled at the atomic level, so that the film thickness of the Ti silicide film (titanium silicide film) can also be freely controlled.

なお,上記シリサイド形成処理室は,前記金属膜を完全に珪化(シリサイド化)することが好ましい。これにより,珪化(シリサイド化)されていない金属膜が残留しないので,低抵抗なコンタクトを形成することができる。また,上記シリコン含有表面は,例えばシリコン又は金属シリサイドからなる。また,上記金属は,例えばTi,Ta,Wから選択されたものである。   In the silicide formation processing chamber, the metal film is preferably completely silicided (silicided). As a result, a metal film that has not been silicided (silicided) does not remain, and a low-resistance contact can be formed. The silicon-containing surface is made of, for example, silicon or metal silicide. The metal is selected from, for example, Ti, Ta, and W.

上記課題を解決するために,本発明の別の観点によれば,被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,前記複数の処理室は,前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室と,前記被処理基板上に第1金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に第1金属膜を成膜する第1金属膜成膜処理室と,前記被処理基板を熱処理して前記第1金属膜と前記シリコン含有表面との珪化反応を起させることによって,第1金属シリサイド膜を形成する第1金属シリサイド形成処理室と,前記被処理基板上に第2金属含有原料ガスを供給して,前記第1金属シリサイド膜上に第2金属膜を成膜する第2金属膜成膜処理室とを含むことを特徴とする基板処理装置が提供される。   In order to solve the above problems, according to another aspect of the present invention, a plurality of processing chambers for performing a predetermined process on a substrate to be processed, a common transfer chamber connected in common to these processing chambers, and this common A substrate processing apparatus having a vacuum processing apparatus provided with a transport mechanism for transporting the substrate to be processed provided in a transport chamber, wherein the plurality of processing chambers supply excitation gas onto the substrate to be processed. The product generation processing chamber for generating a product by chemically reacting the foreign substance on the silicon-containing surface exposed in the substrate to be processed and the gas component of the excitation gas; and heat-treating the substrate to be processed A product removal processing chamber for sublimating and removing the product on the silicon-containing surface, a first metal-containing source gas is supplied onto the substrate to be processed, and the foreign material is removed on the silicon-containing surface. Form a first metal film A first metal silicide film forming process chamber and a first metal silicide film for forming a first metal silicide film by heat-treating the substrate to be processed to cause a silicidation reaction between the first metal film and the silicon-containing surface. A forming process chamber; and a second metal film forming process chamber for supplying a second metal-containing source gas onto the substrate to be processed to form a second metal film on the first metal silicide film. A substrate processing apparatus is provided.

上記課題を解決するために,本発明の別の観点によれば,被処理基板のシリコン含有表面上に金属シリサイド膜を形成する基板処理装置の基板処理方法であって,前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成工程と,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去工程と,前記被処理基板上に第1金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に第1金属膜を成膜する第1金属膜成膜工程と,前記被処理基板を熱処理して前記第1金属膜と前記シリコン含有表面との珪化反応を起させることによって,第1金属シリサイド膜を形成する第1金属シリサイド形成処理工程と,前記被処理基板上に第2金属含有原料ガスを供給して,前記第1金属シリサイド膜上に第2金属膜を成膜する第2金属膜成膜工程とを含むことを特徴とする基板処理方法が提供される。   In order to solve the above problems, according to another aspect of the present invention, there is provided a substrate processing method for a substrate processing apparatus for forming a metal silicide film on a silicon-containing surface of a substrate to be processed. A product generation step for generating a product by supplying an excitation gas and causing a chemical reaction between a foreign substance on the silicon-containing surface exposed in the substrate to be processed and a gas component of the excitation gas; and A product removing step for sublimating and removing the product on the silicon-containing surface by heat treatment, and supplying the first metal-containing source gas onto the substrate to be processed, so that the foreign substance is removed. A first metal film forming step for forming a first metal film on the surface, and a first substrate is heat-treated to cause a silicidation reaction between the first metal film and the silicon-containing surface. Metal silici A first metal silicide forming process for forming a film; and a second metal film for forming a second metal film on the first metal silicide film by supplying a second metal-containing source gas onto the substrate to be processed And a film forming process. A substrate processing method is provided.

このような本発明にかかる装置又は方法によれば,基板処理装置内で第2金属膜成膜処理についても,被処理基板を大気に露出することなく,連続して実行することができるので,第2金属膜の密着性もより向上させることができ,強度もより向上させることができる。   According to such an apparatus or method according to the present invention, the second metal film deposition process can be continuously performed in the substrate processing apparatus without exposing the substrate to be processed to the atmosphere. The adhesion of the second metal film can be further improved, and the strength can be further improved.

上記課題を解決するために,本発明の別の観点によれば,被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,前記複数の処理室は,前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室と,前記被処理基板上にTi含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上にTi膜を成膜するTi膜成膜処理室と,前記被処理基板を熱処理して前記Ti膜と前記シリコン含有表面との珪化反応を起させることによって,Tiシリサイド膜を形成するTiシリサイド形成処理室とを含むことを特徴とする基板処理装置が提供される。   In order to solve the above problems, according to another aspect of the present invention, a plurality of processing chambers for performing a predetermined process on a substrate to be processed, a common transfer chamber connected in common to these processing chambers, and this common A substrate processing apparatus having a vacuum processing apparatus provided with a transport mechanism for transporting the substrate to be processed provided in a transport chamber, wherein the plurality of processing chambers supply excitation gas onto the substrate to be processed. The product generation processing chamber for generating a product by chemically reacting the foreign substance on the silicon-containing surface exposed in the substrate to be processed and the gas component of the excitation gas; and heat-treating the substrate to be processed A product removal processing chamber for sublimating and removing the product on the silicon-containing surface, and a Ti film on the silicon-containing surface from which the foreign matter has been removed by supplying a Ti-containing source gas onto the substrate to be processed Ti film for film formation A film processing chamber; and a Ti silicide formation processing chamber for forming a Ti silicide film by heat-treating the substrate to be processed to cause a silicidation reaction between the Ti film and the silicon-containing surface. A substrate processing apparatus is provided.

このような本発明にかかる装置によれば,被処理基板のシリコン含有表面上に,下地との界面がより平坦(フラット)で均一なTiシリサイド膜を形成することができ,これにより一層低抵抗なコンタクトを形成することがきる。   According to such an apparatus according to the present invention, a uniform Ti silicide film can be formed on the silicon-containing surface of the substrate to be processed so that the interface with the base is flat (flat) and uniform, thereby further reducing the resistance. It is possible to form a simple contact.

上記課題を解決するために,本発明の別の観点によれば,被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,前記複数の処理室は,前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室と,前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理室と,前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,準安定なシリサイド相の金属シリサイド膜を形成する準安定シリサイド相形成処理室と,前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,安定なシリサイド相の金属シリサイド膜を形成する安定シリサイド相形成処理室とを含むことを特徴とする基板処理装置が提供される。   In order to solve the above problems, according to another aspect of the present invention, a plurality of processing chambers for performing a predetermined process on a substrate to be processed, a common transfer chamber connected in common to these processing chambers, and this common A substrate processing apparatus having a vacuum processing apparatus provided with a transport mechanism for transporting the substrate to be processed provided in a transport chamber, wherein the plurality of processing chambers supply excitation gas onto the substrate to be processed. The product generation processing chamber for generating a product by chemically reacting the foreign substance on the silicon-containing surface exposed in the substrate to be processed and the gas component of the excitation gas; and heat-treating the substrate to be processed A product removal processing chamber for sublimating and removing the product on the silicon-containing surface, and a metal film on the silicon-containing surface from which the foreign matter has been removed by supplying a metal-containing source gas onto the substrate to be processed Metal film A metastable silicide phase formation processing chamber for forming a metastable silicide phase metal silicide film by heat-treating the substrate to be processed to cause a silicidation reaction between the metal film and the silicon-containing surface; And a stable silicide phase forming treatment chamber for forming a metal silicide film of a stable silicide phase by causing a silicidation reaction between the metal film and the silicon-containing surface by heat-treating the substrate to be processed. A featured substrate processing apparatus is provided.

上記課題を解決するために,本発明の別の観点によれば,被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,前記複数の処理室は,前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室と,前記被処理基板上にTi含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上にTi膜を成膜するTi膜成膜処理室と,前記被処理基板を熱処理して前記Ti膜と前記シリコン含有表面との珪化反応を起させることによって,C49相のTiシリサイド膜を形成するC49相シリサイド形成処理室と,前記被処理基板を熱処理して前記Ti膜と前記シリコン含有表面との珪化反応を起させることによって,C54相のTiシリサイド膜を形成するC54相シリサイド形成処理室とを含むことを特徴とする基板処理装置が提供される。   In order to solve the above problems, according to another aspect of the present invention, a plurality of processing chambers for performing a predetermined process on a substrate to be processed, a common transfer chamber connected in common to these processing chambers, and this common A substrate processing apparatus having a vacuum processing apparatus provided with a transport mechanism for transporting the substrate to be processed provided in a transport chamber, wherein the plurality of processing chambers supply excitation gas onto the substrate to be processed. The product generation processing chamber for generating a product by chemically reacting the foreign substance on the silicon-containing surface exposed in the substrate to be processed and the gas component of the excitation gas; and heat-treating the substrate to be processed A product removal processing chamber for sublimating and removing the product on the silicon-containing surface, and a Ti film on the silicon-containing surface from which the foreign matter has been removed by supplying a Ti-containing source gas onto the substrate to be processed Ti film for film formation A film processing chamber, a C49 phase silicide formation processing chamber for forming a C49 phase Ti silicide film by heat-treating the substrate to be processed to cause a silicidation reaction between the Ti film and the silicon-containing surface; A substrate processing apparatus comprising: a C54 phase silicide formation processing chamber for forming a C54 phase Ti silicide film by heat-treating a processing substrate to cause a silicidation reaction between the Ti film and the silicon-containing surface. Is provided.

上記課題を解決するために,本発明の別の観点によれば,被処理基板のシリコン含有表面上に金属シリサイド膜を形成する基板処理装置の基板処理方法であって,前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理工程と,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理工程と,前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理工程と,前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,準安定なシリサイド相の金属シリサイド膜を形成する準安定シリサイド相形成処理工程と,前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,安定なシリサイド相の金属シリサイド膜を形成する安定シリサイド相形成処理工程とを含むことを特徴とする基板処理方法が提供される。   In order to solve the above problems, according to another aspect of the present invention, there is provided a substrate processing method for a substrate processing apparatus for forming a metal silicide film on a silicon-containing surface of a substrate to be processed. A product generation processing step for supplying an excitation gas to chemically react foreign matter on the silicon-containing surface exposed on the substrate to be processed and a gas component of the excitation gas to generate a product; and A product removal treatment step for sublimation removal of the product on the silicon-containing surface by heat treatment; and the silicon-containing surface from which the foreign matter has been removed by supplying a metal-containing source gas onto the substrate to be treated A metal film deposition process for depositing a metal film thereon, and heat treatment of the substrate to be treated causes a silicidation reaction between the metal film and the silicon-containing surface, thereby providing a metastable silicide phase gold. A metastable silicide phase forming process for forming a silicide film and a silicidation reaction between the metal film and the silicon-containing surface by heat-treating the substrate to be processed, thereby forming a stable silicide phase metal silicide film And a stable silicide phase forming process step.

このような本発明にかかる装置又は方法によれば,所望のシリサイド相(例えばC49相,C54相)の結晶構造(所望の比抵抗)を有する金属シリサイド膜(例えばチタンシリサイド膜)を形成することができる。   According to such an apparatus or method according to the present invention, a metal silicide film (eg, titanium silicide film) having a desired silicide phase (eg, C49 phase, C54 phase) crystal structure (desired specific resistance) is formed. Can do.

上記課題を解決するために,本発明の別の観点によれば,被処理基板のシリコン含有表面上に金属シリサイド膜を形成する基板処理装置の基板処理方法を実行するプログラムを記憶した記録媒体であって,コンピュータに,前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理ステップと,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理ステップと,前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理ステップと,前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,金属シリサイド膜を形成するシリサイド形成処理ステップと,を前記基板処理装置内で連続して実行させるためのプログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。   In order to solve the above problems, according to another aspect of the present invention, there is provided a recording medium storing a program for executing a substrate processing method of a substrate processing apparatus for forming a metal silicide film on a silicon-containing surface of a substrate to be processed. And supplying a computer with an excitation gas on the substrate to be processed, and generating a product by chemically reacting a foreign substance on the silicon-containing surface exposed on the substrate to be processed with a gas component of the excitation gas. A product generation processing step, a product removal processing step for sublimating and removing the product on the silicon-containing surface by heat-treating the substrate to be processed, and supplying a metal-containing source gas onto the substrate to be processed. A metal film deposition process step for depositing a metal film on the silicon-containing surface from which the foreign matter has been removed; and heat treating the substrate to be treated to contain the metal film and the silicon-containing surface. There is provided a computer-readable recording medium on which a program for continuously executing a silicide formation processing step for forming a metal silicide film by causing a silicidation reaction with the surface in the substrate processing apparatus is provided. The

上記課題を解決するために,本発明の別の観点によれば,被処理基板のシリコン含有表面上に金属シリサイド膜を形成する基板処理装置の基板処理方法を実行するプログラムであって,コンピュータに,前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の前記異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理ステップと,前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理ステップと,前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理ステップと,前記被処理基板を熱処理して,前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,金属シリサイド膜を形成するシリサイド形成処理ステップと,を前記基板処理装置内で連続して実行させるためのプログラムが提供される。   In order to solve the above-described problems, according to another aspect of the present invention, there is provided a program for executing a substrate processing method of a substrate processing apparatus for forming a metal silicide film on a silicon-containing surface of a substrate to be processed. , Product generation for supplying an excitation gas onto the substrate to be processed, and generating a product by chemically reacting the foreign matter on the silicon-containing surface exposed on the substrate to be processed with a gas component of the excitation gas A treatment step, a product removal treatment step for sublimating and removing the product on the silicon-containing surface by heat-treating the substrate to be treated, and supplying a metal-containing source gas on the substrate to be treated, A metal film forming step for forming a metal film on the silicon-containing surface from which foreign substances have been removed, and heat-treating the substrate to be processed, so that the metal film, the silicon-containing surface, By cause silicide reaction, a program for executing the silicide formation process step of forming a metal silicide film, a continuously within the substrate processing apparatus is provided.

このような本発明によれば,異物除去処理(生成物生成処理,生成物除去処理)と,金属膜成膜処理と,シリサイド形成処理とを連続実行することにより,被処理基板における露出するシリコン含有表面上の異物を確実に除去された状態で,金属膜の成膜とシリサイドの形成を行うことができるので,シリコン含有表面上に,下地との界面がよりフラットで均一な金属シリサイド膜を形成することができる。   According to the present invention as described above, the foreign substance removal processing (product generation processing, product removal processing), the metal film deposition processing, and the silicide formation processing are continuously executed, thereby exposing the silicon exposed on the substrate to be processed. Since the metal film can be formed and the silicide can be formed in a state where the foreign matter on the containing surface is reliably removed, a uniform metal silicide film with a flatter interface with the base is formed on the silicon-containing surface. Can be formed.

なお,上記基板処理装置は,前記真空処理装置を複数備え,前記各真空処理装置をパス部を介してそれぞれ連結して構成するようにしてもよい。また,本明細書における合金には,成膜した金属(例えばTi)とその下地(例えばシリコン)とを反応させて形成した珪化物(シリサイド),及び成膜した金属(例えばTi)とその下地の金属(例えば金属シリサイド膜)とを反応させて形成した合金(例えばTi−Co,Ti−Niなど)も含まれる。また,本明細書における異物には,例えばエッチング残渣などのコンタミネーション,パーティクル,自然酸化膜などが含まれる。   The substrate processing apparatus may include a plurality of the vacuum processing apparatuses, and the vacuum processing apparatuses may be connected to each other through a path unit. Further, the alloy in this specification includes a silicide (silicide) formed by reacting a deposited metal (for example, Ti) and an underlying layer (for example, silicon), and a deposited metal (for example, Ti) and the underlying layer. An alloy (for example, Ti—Co, Ti—Ni, etc.) formed by reacting with a metal (for example, a metal silicide film) is also included. Further, the foreign matter in the present specification includes, for example, contamination such as etching residue, particles, natural oxide film, and the like.

以上説明したように本発明によれば,被処理基板のシリコン含有表面に,下地との界面がより平坦(フラット)で均一な金属シリサイド膜を形成することができ,これにより一層低抵抗なコンタクトを形成することがきる。   As described above, according to the present invention, a uniform metal silicide film having a flat (flat) interface with the base can be formed on the silicon-containing surface of the substrate to be processed, thereby further reducing the contact resistance. Can form.

以下に添付図面を参照しながら,本発明の好適な実施の形態について詳細に説明する。なお,本明細書及び図面において,実質的に同一の機能構成を有する構成要素については,同一の符号を付することにより重複説明を省略する。   Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. In the present specification and drawings, components having substantially the same functional configuration are denoted by the same reference numerals, and redundant description is omitted.

(第1実施形態にかかる基板処理装置の構成例)
先ず,本発明の第1実施形態にかかる基板処理装置の構成例を図面を参照しながら説明する。図1は本実施形態にかかる基板処理装置の1例を示す概略構成図である。図1に示すように,基板処理装置100は,複数の処理室を接続する共通搬送室を備える,複数の真空処理装置(例えば第1真空処理装置と第2真空処理装置)を連結してなる。
(Configuration Example of Substrate Processing Apparatus According to First Embodiment)
First, a configuration example of a substrate processing apparatus according to a first embodiment of the present invention will be described with reference to the drawings. FIG. 1 is a schematic configuration diagram showing an example of a substrate processing apparatus according to the present embodiment. As shown in FIG. 1, the substrate processing apparatus 100 includes a plurality of vacuum processing apparatuses (for example, a first vacuum processing apparatus and a second vacuum processing apparatus) that include a common transfer chamber that connects the plurality of processing chambers. .

第1真空処理装置は,第1共通搬送室102を備える。第1共通搬送室102は,略多角形(例えば変則的な七角形)に構成されている。また,第1共通搬送室102内には,ウエハWを保持する2つのピック118A,118Bを有して屈伸及び旋回可能になされた第1搬送機構118が設けられている。第1共通搬送室102の周囲には,真空引き可能に構成された複数(例えば4つ)の処理室104A〜104Dがそれぞれゲートバルブ106A〜106Dを介して連結している。第1共通搬送室102とこれに接続される処理室(処理室104A〜104D)を備える真空処理装置は第1真空処理装置の1例を構成する。   The first vacuum processing apparatus includes a first common transfer chamber 102. The first common transfer chamber 102 has a substantially polygonal shape (for example, an irregular heptagon). In the first common transfer chamber 102, a first transfer mechanism 118 having two picks 118 </ b> A and 118 </ b> B for holding the wafer W and capable of bending and stretching and turning is provided. Around the first common transfer chamber 102, a plurality of (for example, four) processing chambers 104A to 104D configured to be evacuated are connected via gate valves 106A to 106D, respectively. A vacuum processing apparatus including the first common transfer chamber 102 and the processing chambers (processing chambers 104A to 104D) connected thereto constitutes an example of the first vacuum processing apparatus.

各処理室104A〜104Dには被処理基板例えば半導体ウエハ(以下,単に「ウエハ」とも称する。)Wを載置する載置台105A〜105Dが設けられている。各処理室104A〜104Dはそれぞれ載置台105A〜105Dに載置されたウエハWに対して所定の処理を施し得るようになっている。   In each of the processing chambers 104A to 104D, mounting tables 105A to 105D for mounting a substrate to be processed, for example, a semiconductor wafer (hereinafter also simply referred to as “wafer”) W are provided. Each of the processing chambers 104A to 104D can perform a predetermined process on the wafer W mounted on the mounting tables 105A to 105D, respectively.

一方,第1真空処理装置は,第2共通搬送室120を備える。第2共通搬送室120も,第1共通搬送室102と同様に略多角形(例えば変則的な七角形)に構成されている。第2共通搬送室120の2つの辺には,各処理室104E,104Fがそれぞれゲートバルブ106E,106Fを介して連結している。なお,第2共通搬送室120とこれに接続される処理室(処理室104E,104F)を備える真空処理装置は第2真空処理装置の1例を構成する。   On the other hand, the first vacuum processing apparatus includes a second common transfer chamber 120. Similarly to the first common transfer chamber 102, the second common transfer chamber 120 is also formed in a substantially polygonal shape (for example, an irregular heptagon). The processing chambers 104E and 104F are connected to the two sides of the second common transfer chamber 120 through gate valves 106E and 106F, respectively. Note that the vacuum processing apparatus including the second common transfer chamber 120 and the processing chambers (processing chambers 104E and 104F) connected thereto constitutes an example of the second vacuum processing apparatus.

第1共通搬送室102と第2共通搬送室120との間には,各共通搬送室102,120を連通すると共にウエハWを一時的に保持するパス部122が連結されている。第1共通搬送室102と第2共通搬送室120との間でウエハを搬送する際には,このパス部122にウエハWを一時的に保持するようになっている。第1共通搬送室102とパス部122の接合部にはゲートバルブ126が設けられている。このゲートバルブ126を開閉することにより,各共通搬送室102,120間を連通及び遮断が可能となる。   Between the first common transfer chamber 102 and the second common transfer chamber 120, a path unit 122 that connects the common transfer chambers 102 and 120 and temporarily holds the wafer W is connected. When the wafer is transferred between the first common transfer chamber 102 and the second common transfer chamber 120, the wafer W is temporarily held in the path unit 122. A gate valve 126 is provided at the junction between the first common transfer chamber 102 and the pass unit 122. By opening and closing the gate valve 126, the common transfer chambers 102 and 120 can be connected and disconnected.

上記各処理室104E,104F内には,他の処理室104A〜104Dと同様にウエハWを保持する載置台105E,105Fがそれぞれ設けられる。また,第2共通搬送室120内には,第1共通搬送室102と同様に,2つのピック124A,124Bを有する屈伸及び旋回可能になされた第2搬送機構124が設けられている。第2共通搬送室120の第2搬送機構124は,第1共通搬送室102の第1搬送機構118の場合と同様な操作でウエハを効率的に搬送するようになっている。   In the processing chambers 104E and 104F, mounting tables 105E and 105F for holding the wafer W are provided similarly to the other processing chambers 104A to 104D. Further, in the second common transfer chamber 120, similarly to the first common transfer chamber 102, a second transfer mechanism 124 having two picks 124 </ b> A and 124 </ b> B that can be bent and stretched is provided. The second transfer mechanism 124 of the second common transfer chamber 120 is configured to transfer the wafer efficiently by the same operation as that of the first transfer mechanism 118 of the first common transfer chamber 102.

第2共通搬送室120には,真空引き可能に構成された2つのロードロック室108A,108Bを介して略長方形状の搬入側搬送室110が連結されている。ロードロック室108A,108Bと第2共通搬送室120及び搬入側搬送室110との連結部にはそれぞれゲートバルブ107A,107Bが介在している。   The second common transfer chamber 120 is connected to a substantially rectangular carrying-side transfer chamber 110 via two load lock chambers 108A and 108B configured to be evacuated. Gate valves 107A and 107B are interposed at connecting portions between the load lock chambers 108A and 108B and the second common transfer chamber 120 and the carry-in transfer chamber 110, respectively.

上記搬入側搬送室110には,ウエハWを複数枚収容できるカセットを載置する例えば3つの導入ポート112A〜112C及びウエハWを回転してこの偏心量を光学的に求めて位置合わせを行うオリエンタ114が連結されている。   In the carry-in transfer chamber 110, for example, three introduction ports 112A to 112C on which a cassette capable of storing a plurality of wafers W is placed, and the wafer W is rotated to optically determine the amount of eccentricity and to perform alignment. 114 are connected.

搬入側搬送室110内には,ウエハWを保持する2つのピック116A,116Bを有して屈伸,旋回,昇降及び直線移動可能に構成された搬入側搬送機構116が設けられている。基板処理装置100には,制御部200が接続されており,この制御部200により基板処理装置100の各部が制御されるようになっている。   In the carry-in side transfer chamber 110, a carry-in side transfer mechanism 116 having two picks 116A and 116B for holding the wafer W and configured to bend, stretch, turn, move up and down and move linearly is provided. A control unit 200 is connected to the substrate processing apparatus 100, and each unit of the substrate processing apparatus 100 is controlled by the control unit 200.

なお,第2共通搬送室120と2つのロードロック室の内のいずれか一方,例えばロードロック室108Aとの連結部の搬送口109AはウエハWを第2共通搬送室120内へ専用に搬入する搬入口として用いられ,他方のロードロック室108Bとの連結部の搬送口109BはウエハWを第2共通搬送室120から外へ専用に搬出する搬出口として用いられる。   Note that one of the second common transfer chamber 120 and the two load lock chambers, for example, the transfer port 109A of the connecting portion with the load lock chamber 108A, carries the wafer W exclusively into the second common transfer chamber 120. The transfer port 109B used as a carry-in port and connected to the other load lock chamber 108B is used as a carry-out port for carrying the wafer W out of the second common transfer chamber 120 exclusively.

(ウエハ処理の具体例)
次に,上述した第1実施形態にかかる基板処理装置100により実行されるウエハ処理(基板処理方法)について説明する。基板処理装置100は,例えば図2に示すような膜構造を有するSiウエハ(Si基板)160に対して処理を行う。Siウエハ160は,ベア基板162上に,層間絶縁膜164を形成し,エッチングによりコンタクトホール165を形成し,コンタクトホール165の底部にSi表面163を露出させたものである。
(Specific example of wafer processing)
Next, wafer processing (substrate processing method) executed by the substrate processing apparatus 100 according to the first embodiment will be described. The substrate processing apparatus 100 processes a Si wafer (Si substrate) 160 having a film structure as shown in FIG. In the Si wafer 160, an interlayer insulating film 164 is formed on a bare substrate 162, a contact hole 165 is formed by etching, and the Si surface 163 is exposed at the bottom of the contact hole 165.

ここでは,図2に示すようなSi表面163上にTiシリサイド膜(チタンシリサイド膜)を形成する場合を例に挙げる。図3は,第1実施形態にかかるウエハ処理を説明するための工程図であり,図4は図3に示す各工程におけるコンタクトホールの底部(A部)の膜構造を拡大した模式図である。図5は図4の比較例としてSiウエハ160のベア基板172が露出するSi表面に自然酸化膜などの異物173が再度付着した状態で,Ti膜を成膜すると同時にTiシリサイド膜を形成した場合のコンタクトホールの底部の膜構造を拡大した模式図である。図5(a)はTiシリサイド膜形成前の状態であって,図4(a)に対応する。図5(b)はTiシリサイド膜形成後の状態であって,図4(c)に対応する。   Here, a case where a Ti silicide film (titanium silicide film) is formed on the Si surface 163 as shown in FIG. 2 will be described as an example. FIG. 3 is a process diagram for explaining the wafer processing according to the first embodiment, and FIG. 4 is an enlarged schematic view of the film structure of the bottom part (A part) of the contact hole in each process shown in FIG. . FIG. 5 shows a comparative example of FIG. 4 in which a Ti film is formed at the same time as a Ti film is formed in a state where a foreign substance 173 such as a natural oxide film adheres again to the Si surface where the bare substrate 172 of the Si wafer 160 is exposed. It is the schematic diagram which expanded the film | membrane structure of the bottom part of this contact hole. FIG. 5A shows a state before the Ti silicide film is formed, and corresponds to FIG. FIG. 5B shows a state after the Ti silicide film is formed, and corresponds to FIG.

第1実施形態にかかる基板処理装置100は,図2に示すようなSiウエハ160を搬入して,以下に示す処理を連続して実行する。すなわち,図3(a)に示すように,先ずSi表面163上の異物(例えばエッチング残渣などのコンタミネーション,パーティクル,自然酸化膜など)を除去する異物除去処理を行う。これにより,例えばコンタクトホールの底部(図3(a)に示すA部)は,図4(a)に示すように,自然酸化膜などの異物がないフラットで均一な面となる。   The substrate processing apparatus 100 according to the first embodiment carries in a Si wafer 160 as shown in FIG. 2 and continuously executes the following processes. That is, as shown in FIG. 3A, first, foreign matter removal processing for removing foreign matter (for example, contamination such as etching residue, particles, natural oxide film) on the Si surface 163 is performed. As a result, for example, the bottom of the contact hole (A portion shown in FIG. 3A) becomes a flat and uniform surface free from foreign substances such as a natural oxide film, as shown in FIG. 4A.

次いで,Siウエハを大気に露出することなく,Tiシリサイド膜形成処理を基板処理装置100内で連続して行う。さらに,第1実施形態にかかるTiシリサイド膜形成処理では,Ti膜の成膜(Ti膜成膜処理)とTiの珪化(Tiシリサイド形成処理)の2段階に分けて実行する。すなわち,Tiシリサイド膜形成処理では,図3(b)に示すように,先ず自然酸化膜などの異物が除去されたSi表面163上に例えばTiCl等のTi含有原料ガスを供給してTi膜166を成膜するTi膜成膜処理を行う。これにより,例えばコンタクトホールの底部(図3(b)に示すA部)は,図4(b)に示すように下地との界面(ここではベア基板162のSi表面163)がフラットで均一なTi膜166を成膜することができる。 Next, Ti silicide film formation processing is continuously performed in the substrate processing apparatus 100 without exposing the Si wafer to the atmosphere. Further, the Ti silicide film forming process according to the first embodiment is performed in two stages, namely, Ti film formation (Ti film formation process) and Ti silicidation (Ti silicide formation process). That is, in the Ti silicide film forming process, as shown in FIG. 3B, first, a Ti-containing source gas such as TiCl 4 is supplied onto the Si surface 163 from which foreign substances such as a natural oxide film have been removed, to form a Ti film. A Ti film forming process for forming 166 is performed. As a result, for example, the bottom of the contact hole (A portion shown in FIG. 3B) has a flat and uniform interface with the base (here, the Si surface 163 of the bare substrate 162) as shown in FIG. 4B. A Ti film 166 can be formed.

続いて,図3(c)に示すように,Siウエハ160を熱処理してTi膜166とその下地(Si)との間で珪化反応(シリサイド化反応)を起させて,Siウエハ160のSi表面163上にTiシリサイド膜を形成するTiシリサイド形成処理を行う。これにより,例えばコンタクトホールの底部(図3(c)に示すA部)は,図4(c)に示すようにSi(下地)との界面,すなわちベア基板162との界面161がフラットで均一なTiシリサイド膜167を形成することができる。この場合,Ti膜166を成膜した後に珪化するため,Ti膜166が完全に珪化したTiシリサイド膜167を形成することができる。   Subsequently, as shown in FIG. 3C, the Si wafer 160 is heat-treated to cause a silicidation reaction (silicidation reaction) between the Ti film 166 and the underlying layer (Si). A Ti silicide formation process for forming a Ti silicide film on the surface 163 is performed. As a result, for example, the bottom of the contact hole (A portion shown in FIG. 3C) has a flat and uniform interface 161 with the Si (base), that is, the interface 161 with the bare substrate 162, as shown in FIG. 4C. A Ti silicide film 167 can be formed. In this case, since the Ti film 166 is silicided after being formed, the Ti silicide film 167 in which the Ti film 166 is completely silicided can be formed.

次に,図3(d)に示すように,Ti膜166上にさらにTiN膜168を成膜するTiN膜成膜処理を行う。こうして,Siウエハ160のコンタクトホール165内にTi膜166及びTiN膜のバリア膜が形成される。   Next, as shown in FIG. 3D, a TiN film forming process for forming a TiN film 168 on the Ti film 166 is performed. Thus, a Ti film 166 and a TiN film barrier film are formed in the contact hole 165 of the Si wafer 160.

ところで,もし,従来のように基板処理装置100外でSiウエハのSi表面上の異物の除去が行われると,そのSi表面上にTiシリサイド膜を形成するために基板処理装置100内にSiウエハを取込むときにSi表面が大気に露出される。このため,例えば図5(a)に示すように,Tiシリサイド膜形成前に,Siウエハにおいて露出するベア基板172表面には新たに自然酸化膜などの異物173が発生してしまう。   By the way, if the foreign matter on the Si surface of the Si wafer is removed outside the substrate processing apparatus 100 as in the prior art, the Si wafer is formed in the substrate processing apparatus 100 in order to form a Ti silicide film on the Si surface. When taking up, the Si surface is exposed to the atmosphere. For this reason, for example, as shown in FIG. 5A, before the Ti silicide film is formed, foreign matter 173 such as a natural oxide film is newly generated on the bare substrate 172 surface exposed on the Si wafer.

このようにベア基板172表面に自然酸化膜などの異物173が再度付着した状態でTiシリサイド膜を形成すると,その異物173によってTi膜の均一な珪化(シリサイド化)が阻害され,Si(下地)との界面,すなわちベア基板172とTiシリサイド膜177との界面のラフネスが大きくなるという問題がある。   When the Ti silicide film is formed in such a manner that the foreign substance 173 such as a natural oxide film adheres again to the surface of the bare substrate 172, uniform silicidation (silicidation) of the Ti film is inhibited by the foreign substance 173, and Si (underlying) In other words, the roughness of the interface between the bare substrate 172 and the Ti silicide film 177 increases.

さらに,もし,従来のようにTi膜を成膜すると同時にTiシリサイド膜を形成すると,Ti膜の珪化反応(シリサイド化反応)が急激に進み易く,Tiシリサイド膜177とその下地(Si)との界面のラフネスはさらに大きくなってしまう。このため,Tiシリサイド膜形成後には,図5(b)に示すように,Tiシリサイド膜177とその下地(Si)との界面のラフネスが増大してしまう。   Furthermore, if a Ti silicide film is formed at the same time as the Ti film is formed as in the prior art, the silicidation reaction (silicide reaction) of the Ti film is likely to proceed rapidly, and the Ti silicide film 177 and the underlying (Si) The roughness of the interface is further increased. For this reason, after the Ti silicide film is formed, as shown in FIG. 5B, the roughness of the interface between the Ti silicide film 177 and its base (Si) increases.

また,このようにTi膜の珪化(シリサイド化)が不均一な状態で急激に進むと,Ti膜のすべてを完全に珪化することができず,Tiシリサイド膜177上には珪化されていないTi膜が部分的に残留してしまう虞がある。このように,珪化されていないTi膜が残留すると,コンタクトの低抵抗化を阻害する要因になる。   Further, when the silicidation (silicidation) of the Ti film proceeds rapidly in a non-uniform state in this way, the entire Ti film cannot be completely silicided, and Ti that is not silicided on the Ti silicide film 177 is obtained. There is a risk that the film partially remains. As described above, if a non-silicided Ti film remains, it becomes a factor that hinders contact resistance reduction.

これに対して,第1実施形態では,上述したように基板処理装置100内で異物除去処理を実行した後,Siウエハを大気に露出することなく基板処理装置100内で連続してTiシリサイド膜形成処理を行う。これにより,図4(a)に示すようにベア基板162のSi表面163は異物がなく,極めてフラットで均一な状態で,Tiシリサイド膜166を形成することができる。さらにTiシリサイド膜形成処理はTi膜の成膜とTi膜の珪化の2段階に分けて実行する。これにより,図4(c)に示すようにTiシリサイド膜形成後には下地(Si)すなわちベア基板162とTiシリサイド膜166との界面161が極めてフラットで均一な状態にすることができる。   In contrast, in the first embodiment, as described above, after the foreign substance removal process is performed in the substrate processing apparatus 100, the Ti silicide film is continuously formed in the substrate processing apparatus 100 without exposing the Si wafer to the atmosphere. A forming process is performed. As a result, as shown in FIG. 4A, the Ti silicide film 166 can be formed in a very flat and uniform state on the Si surface 163 of the bare substrate 162 without any foreign matter. Further, the Ti silicide film forming process is executed in two stages, namely, Ti film formation and Ti film silicidation. As a result, as shown in FIG. 4C, after the Ti silicide film is formed, the base (Si), that is, the interface 161 between the bare substrate 162 and the Ti silicide film 166 can be made extremely flat and uniform.

このように,第1実施形態によれば,下地(Si)との界面が極めてフラットで膜厚が薄いTiシリサイド膜を形成できるため,より浅い拡散層のコンタクトに適用することができる。すなわち,浅い拡散層のコンタクトに適用しても,その拡散層の底をTiシリサイド膜の一部が突き抜けて接合リーク電流が増えたり,接合が破壊されたりするなどの問題が生じることはない。   Thus, according to the first embodiment, a Ti silicide film having an extremely flat interface with the base (Si) and a thin film thickness can be formed, and therefore, it can be applied to a contact of a shallower diffusion layer. That is, even when applied to a contact of a shallow diffusion layer, there is no problem that a part of the Ti silicide film penetrates through the bottom of the diffusion layer to increase the junction leakage current or break the junction.

しかも,第1実施形態によれば,Tiシリサイド膜とその下地(Si)との界面を極めてフラットで均一にすることができるので,ショットキー障壁をより低くすることができる。従って,ショットキー障壁の観点からみて,コンタクト抵抗を低くすることができる。さらに,第1実施形態によれば,より膜厚の薄いTiシリサイド膜を形成することができるので,拡散層の表面から浅くて不純物濃度が濃い位置にコンタクトを形成することができる。従って,拡散層の不純物濃度の観点からみても,コンタクト抵抗を低くすることができる。   Moreover, according to the first embodiment, the interface between the Ti silicide film and its base (Si) can be made extremely flat and uniform, so that the Schottky barrier can be further lowered. Therefore, the contact resistance can be lowered from the viewpoint of the Schottky barrier. Furthermore, according to the first embodiment, a Ti silicide film having a smaller thickness can be formed, so that a contact can be formed at a position shallow from the surface of the diffusion layer and having a high impurity concentration. Accordingly, the contact resistance can be lowered from the viewpoint of the impurity concentration of the diffusion layer.

(異物除去処理)
以下,上述した各プロセス処理のうち,本発明の主要プロセス処理である異物除去処理,Tiシリサイド膜形成処理について,より詳細に説明する。先ず,Tiシリサイド膜成膜処理の前工程として行う異物除去処理について説明する。第1実施形態では,水成分を用いず且つプラズマを用いない異物除去処理を実行する。この異物除去処理は,例えばSiウエハに付着した自然酸化膜を含む異物とガス成分とを化学反応させて生成物を生成する生成物生成処理と,Siウエハ上に生成された生成物を熱処理により除去する生成物除去処理との2段階の処理によって構成される。
(Foreign substance removal processing)
Hereinafter, among the above-described process processes, the foreign substance removal process and the Ti silicide film forming process, which are the main process processes of the present invention, will be described in more detail. First, the foreign substance removal process performed as a pre-process of the Ti silicide film formation process will be described. In the first embodiment, a foreign matter removal process that does not use a water component and does not use plasma is executed. This foreign matter removal process includes, for example, a product generation process for generating a product by chemically reacting a foreign substance including a natural oxide film attached to a Si wafer and a gas component, and a product generated on the Si wafer by a heat treatment. It comprises a two-stage process including a product removal process to be removed.

生成物生成処理は例えばCOR(Chemical Oxide Removal)処理であり,生成物除去処理は例えばPHT(Post Heat Treatment)処理である。COR処理は,Siウエハ上に付着した異物例えば自然酸化膜などの酸化膜と例えばアンモニア(NH)ガス及び弗化水素(HF)ガスなどのガス分子とを化学反応させて生成物(主に(NHSiF)を生成する処理である。PHT処理は,COR処理が施されたSiウエハを加熱して,COR処理の化学反応によってSiウエハ上に生成した生成物を気化(昇華)させてSiウエハから除去する処理である。 The product generation process is, for example, a COR (Chemical Oxide Removal) process, and the product removal process is, for example, a PHT (Post Heat Treatment) process. In the COR process, foreign substances adhering to the Si wafer, such as an oxide film such as a natural oxide film, and a gas molecule such as ammonia (NH 3 ) gas and hydrogen fluoride (HF) gas are chemically reacted to produce products (mainly This is a process for generating (NH 4 ) 2 SiF 6 ). The PHT process is a process in which the Si wafer that has been subjected to the COR process is heated, and a product generated on the Si wafer by the chemical reaction of the COR process is vaporized (sublimated) to be removed from the Si wafer.

このように,COR処理及びPHT処理,特にCOR処理は水成分を用いず且つプラズマを用いずにSiウエハの自然酸化膜などの異物を除去することができるため,プラズマレスエッチング処理及びドライクリーニング処理(乾燥洗浄処理)に相当する。   As described above, since the COR process and the PHT process, particularly the COR process, can remove foreign matters such as a natural oxide film of the Si wafer without using a water component and without using plasma, the plasmaless etching process and the dry cleaning process. This corresponds to (dry cleaning treatment).

例えばCOR処理及びPHT処理においてアンモニアガス及び弗化水素ガスを反応ガスとして用いることにより,以下の化学反応を利用して自然酸化膜などの異物を除去する。   For example, by using ammonia gas and hydrogen fluoride gas as reaction gases in COR processing and PHT processing, foreign substances such as a natural oxide film are removed using the following chemical reaction.

[COR処理の化学反応式]
SiO+4HF → SiF+2HO↑
SiF+2NH+2HF → (NHSiF
[Chem chemical reaction formula]
SiO 2 + 4HF → SiF 4 + 2H 2 O ↑
SiF 4 + 2NH 3 + 2HF → (NH 4 ) 2 SiF 6

[PHT処理の化学反応式]
(NHSiF → SiF↑+2NH↑+2HF↑
[Chemical reaction formula of PHT treatment]
(NH 4 ) 2 SiF 6 → SiF 4 ↑ + 2NH 3 ↑ + 2HF ↑

上述した化学反応を利用したCOR処理及びPHT処理は,以下の特性を有する。なお,PHT処理においては,N及びHも若干量発生する。 The COR process and the PHT process using the chemical reaction described above have the following characteristics. In the PHT process, a small amount of N 2 and H 2 is also generated.

[COR処理及びPHT処理の特性]
(1)熱酸化膜の選択比(除去速度)が高い。具体的にはCOR処理及びPHT処理は,熱酸化膜の選択比が高い一方,ポリシリコンの選択比が低い。従って,熱酸化膜であるSiO膜からなる絶縁膜の表層やSiO膜と同様の特性を有する疑似SiO層又はシリコン表層の自然酸化膜及びウォータマークを効率よく除去することができる。
[Characteristics of COR processing and PHT processing]
(1) The thermal oxide film has a high selectivity (removal rate). Specifically, in the COR process and the PHT process, the selectivity of the thermal oxide film is high, while the selectivity of polysilicon is low. Therefore, the surface layer of the insulating film made of the SiO 2 film, which is a thermal oxide film, and the natural oxide film and watermark on the pseudo-SiO 2 layer or silicon surface layer having the same characteristics as the SiO 2 film can be efficiently removed.

(2)表層や疑似SiO層が除去された絶縁膜の表面における自然酸化膜の成長速度が遅い。具体的にはSiウエハにウェットエッチング処理を施すことによって露出したSi表面においては,厚さ3オングストロームの自然酸化膜の成長時間が略10分であるのに対して,SiウエハにCOR処理及びPHT処理を施すことによって露出したSi表面においては,厚さ3オングストロームの自然酸化膜の成長時間は略2時間以上である。従って,COR処理及びPHT処理による洗浄工程では,ウォータマークが新たに発生することはなく,さらに洗浄工程後の時間経過による自然酸化膜の成長も抑制されるので,半導体デバイスの信頼性を向上させることができる。 (2) The growth rate of the natural oxide film on the surface of the insulating film from which the surface layer and the pseudo SiO 2 layer are removed is slow. Specifically, on the Si surface exposed by performing wet etching on the Si wafer, the growth time of a natural oxide film having a thickness of 3 angstroms is approximately 10 minutes, while the COR treatment and PHT are performed on the Si wafer. On the Si surface exposed by the treatment, the growth time of a natural oxide film having a thickness of 3 angstroms is approximately 2 hours or more. Therefore, in the cleaning process by the COR process and the PHT process, a new watermark is not generated, and the growth of the natural oxide film with the passage of time after the cleaning process is suppressed, so that the reliability of the semiconductor device is improved. be able to.

(3)ドライ環境において反応が進行する。具体的にはCOR処理において水を反応に用いることはない。またCOR処理によって水分子が発生したとしても,COR処理は略真空状態で行われるため,水分子は気体状態で発生する。従って,水分子が液体状態でSiウエハに付着することはないので,Siウエハの表面にウォータマーク等が発生することはない。またPHT処理は高温下で行われるため,Siウエハの表面にウォータマーク等が発生することはなく,Siウエハにおいて露出するSi表面にOH基が配されることもない。従って,Siウエハの表面が不動態化(passivate)されて親水性になることがないので,Siウエハの表面は吸湿することもないため,半導体デバイスの配線信頼性の低下を防止することができる。 (3) The reaction proceeds in a dry environment. Specifically, water is not used for the reaction in the COR treatment. Further, even if water molecules are generated by the COR process, the COR process is performed in a substantially vacuum state, so that the water molecules are generated in a gas state. Accordingly, since water molecules do not adhere to the Si wafer in the liquid state, a watermark or the like is not generated on the surface of the Si wafer. Further, since the PHT process is performed at a high temperature, a watermark or the like is not generated on the surface of the Si wafer, and OH groups are not arranged on the exposed Si surface of the Si wafer. Therefore, since the surface of the Si wafer is not passivated and becomes hydrophilic, the surface of the Si wafer does not absorb moisture, thereby preventing a reduction in wiring reliability of the semiconductor device. .

(4)生成物(錯体)の生成量は所定時間が経過すると緩和する。具体的には所定時間が経過すると,それ以降はウォータマークをアンモニアガス及び弗化水素ガスの混合気体に暴露し続けても,生成物の生成量は増加しない。また生成物の生成量は,混合気体の圧力,体積流量比等の混合気体のパラメータによって決定される。従って,ウォータマークの除去量の制御を容易に行うことができる。 (4) The production amount of the product (complex) is relaxed after a predetermined time. Specifically, after a predetermined time has elapsed, the amount of product produced does not increase even if the watermark is continuously exposed to a mixed gas of ammonia gas and hydrogen fluoride gas. The amount of product produced is determined by the parameters of the gas mixture, such as the pressure of the gas mixture and the volumetric flow ratio. Therefore, it is possible to easily control the removal amount of the watermark.

(5)パーティクルの発生が非常に少ない。具体的には例えば2000枚のSiウエハに対して自然酸化膜の除去を実行しても,処理室内や処理室の内壁等にパーティクルの付着がほとんど観察されない。従って半導体デバイスにおいてパーティクルを介した配線の短絡等が発生することがなく,半導体デバイスの信頼性を向上させることができる。 (5) Generation of particles is very small. Specifically, for example, even when natural oxide film removal is performed on 2000 Si wafers, particle adhesion is hardly observed on the processing chamber or the inner wall of the processing chamber. Therefore, there is no occurrence of a short circuit of wiring via particles in the semiconductor device, and the reliability of the semiconductor device can be improved.

このように,第1実施形態では,水成分を用いず且つプラズマを用いない異物除去処理を実行することにより,次に連続して行うTi膜成膜処理において膜の密着性,強度を向上させることができる。また,第1実施形態にかかる異物除去処理ではプラズマを用いないため,Ti膜の下地,特にSiウエハの拡散層表面にプラズマ起因のチャージアップダメージを負わせることを防止することができるので,ダメージのない配線加工を行うことができ,良好なコンタクト抵抗を有する膜を成膜することができる。   As described above, in the first embodiment, by performing the foreign substance removal process without using the water component and without using the plasma, the adhesion and strength of the film are improved in the Ti film forming process that is subsequently performed. be able to. Moreover, since the plasma is not used in the foreign substance removal process according to the first embodiment, it is possible to prevent the charge-up damage caused by the plasma from being applied to the underlayer of the Ti film, particularly the diffusion layer surface of the Si wafer. Therefore, a film having good contact resistance can be formed.

なお,第1実施形態における異物除去処理としては,アルゴンプラズマを用いたドライ洗浄によって自然酸化膜除去を行うものも適用可能である。この場合には,Si基板の拡散層表面がプラズマによりダメージを受けると,不均一にアモルファス化する虞がある。従って,もしその状態でプラズマCVDでTi膜を成膜すると同時にTiシリサイド膜を形成すると,Tiシリサイド膜のTiSi結晶が不均一になってしまう。このような不均一な状態のTiSi結晶は比較的疎に存在するため,比抵抗が高いとともにTiシリサイド膜と下地との接触が不均一となり,コンタクト抵抗が増加してしまう。 As the foreign matter removal process in the first embodiment, a process for removing the natural oxide film by dry cleaning using argon plasma is also applicable. In this case, when the surface of the diffusion layer of the Si substrate is damaged by the plasma, there is a possibility that it becomes amorphous nonuniformly. Therefore, if a Ti silicide film is formed at the same time as a Ti film is formed by plasma CVD in that state, the TiSi 2 crystal of the Ti silicide film becomes non-uniform. Since such non-uniform TiSi 2 crystals exist relatively sparsely, the specific resistance is high and the contact between the Ti silicide film and the base becomes non-uniform, resulting in an increase in contact resistance.

この点,第1実施形態では,プラズマを用いない異物除去処理を前処理として行うので,Si基板の拡散層表面がプラズマによるダメージを受けないため,Tiシリサイド膜のTiSi結晶の均一性をより高めることができ,より低抵抗なコンタクトを形成することができる。 In this regard, in the first embodiment, since the foreign substance removal process without using plasma is performed as a pre-process, the surface of the diffusion layer of the Si substrate is not damaged by the plasma, so that the uniformity of the TiSi 2 crystal of the Ti silicide film is further improved. Therefore, a contact with lower resistance can be formed.

また,COR処理及びPHT処理による化学反応過程によってSi基板のSi表面及び界面の原子配列(モホロジー)を制御することで,不必要な界面準位とそこにたまる電荷発生を防止し,界面電荷を精密に制御することができる。すなわち,Tiシリサイド膜とその下地(Si)との平坦な界面を有したオーミック電極をウエハ面内均一に作成することが可能である。このように,フラットで均一なTiシリサイド膜を形成することによって,ショットキー障壁の高さを一定にして界面を均一にすることができるので,局所的な電流の流れを防止することができ,ひいてはこのようなコンタクトを用いて製造されたトランジスタなどのオフ時のリーク電流の発生を防止することができる。   Moreover, by controlling the atomic arrangement (morphology) of the Si surface and interface of the Si substrate by the chemical reaction process by COR processing and PHT processing, unnecessary interface states and the generation of charges accumulated therein are prevented, and interface charges are reduced. It can be controlled precisely. That is, it is possible to uniformly form an ohmic electrode having a flat interface between the Ti silicide film and its base (Si) in the wafer surface. In this way, by forming a flat and uniform Ti silicide film, the height of the Schottky barrier can be made constant and the interface can be made uniform, so that local current flow can be prevented. As a result, it is possible to prevent the occurrence of a leakage current when the transistor manufactured using such a contact is turned off.

(Tiシリサイド膜形成処理)
次に,Tiシリサイド膜形成処理について説明する。第1実施形態におけるTiシリサイド膜形成処理は,上述したようにTi膜(第1膜)を成膜するTi膜成膜処理(第1金属膜成膜処理)と,そのTi膜と下地との間で珪化反応を起させてTiシリサイドを形成するTiシリサイド形成処理(第1金属膜シリサイド形成処理)との2段階の処理によって構成される。
(Ti silicide film formation process)
Next, the Ti silicide film forming process will be described. The Ti silicide film forming process in the first embodiment includes the Ti film forming process (first metal film forming process) for forming the Ti film (first film) as described above, and the Ti film and the base. A two-stage process including a Ti silicide formation process (first metal film silicide formation process) in which a silicidation reaction is caused to form Ti silicide is formed.

先ず,Ti膜成膜処理について説明する。Ti膜成膜処理では,Siウエハ160において露出するSi表面163上に例えばTiCl等のTi含有原料ガスを供給してTi膜166を成膜する。このTi膜成膜処理では,Ti膜の成膜のみを行い,Tiシリサイドの形成は次に連続するTiシリサイド形成処理によって行う。このため,第1実施形態にかかるTi膜成膜処理では,プロセス温度をTi膜166とその下地(Si)との間で珪化反応が起らない温度範囲に設定して行うことが好ましい。ここでいうTi膜166とその下地(Si)との間で珪化反応が起らない温度範囲というのは,Ti膜の珪化反応によって結晶構造が形成されない温度範囲,具体的にはTi膜のより安定なシリサイド相(例えばTiSiの準安定なC49相,安定なC54相)が形成されない温度範囲である。 First, the Ti film forming process will be described. In the Ti film formation process, a Ti film 166 is formed by supplying a Ti-containing source gas such as TiCl 4 on the Si surface 163 exposed in the Si wafer 160. In this Ti film forming process, only the Ti film is formed, and Ti silicide is formed by the next continuous Ti silicide forming process. For this reason, in the Ti film forming process according to the first embodiment, it is preferable to set the process temperature within a temperature range in which the silicidation reaction does not occur between the Ti film 166 and the base (Si). The temperature range in which the silicidation reaction does not occur between the Ti film 166 and the base (Si) here is a temperature range in which a crystal structure is not formed by the silicidation reaction of the Ti film, specifically, more than the Ti film. This is a temperature range in which a stable silicide phase (for example, a metastable C49 phase or a stable C54 phase of TiSi 2 ) is not formed.

ここで,Ti膜成膜処理において,上述したようなTi膜とその下地(Si)との間で珪化反応が起らない温度範囲について説明する。ここでは,SiウエハにTi膜を成膜する際におけるTi膜の成膜レートとSiウエハの温度(設定温度)との関係に基づいて最適な温度範囲を考察する。具体的には,SiウエハにTi膜を成膜する際に,Ti膜とその下地(Si)との間で珪化反応が起こると,Ti膜の成膜レートが大きくなることを利用する。   Here, the temperature range in which silicidation reaction does not occur between the Ti film and the base (Si) as described above in the Ti film forming process will be described. Here, the optimum temperature range will be considered based on the relationship between the Ti film formation rate and the Si wafer temperature (set temperature) when forming the Ti film on the Si wafer. Specifically, when a Ti film is formed on a Si wafer, if a silicidation reaction occurs between the Ti film and its base (Si), the fact that the film formation rate of the Ti film increases is utilized.

図6は,SiウエハのSi表面上にTi膜を成膜する際の成膜レートとウエハの設定温度との関係をグラフで示した図である。SiウエハにTiClガスを供給するとともに,HガスおよびArガスを供給してプラズマを発生させて,Siウエハの設定温度を変えながら所定時間(2min)ずつTi膜の成膜する実験を行い,Siウエハの設定温度ごとに成膜レートを検出した結果である。なお,成膜レートは,XRF(蛍光分析装置)によって検出した膜厚に基づいて算出したものである。 FIG. 6 is a graph showing the relationship between the film formation rate when the Ti film is formed on the Si surface of the Si wafer and the set temperature of the wafer. While supplying TiCl 4 gas to the Si wafer and supplying H 2 gas and Ar gas to generate plasma, experiment was carried out to form Ti film every predetermined time (2 min) while changing the set temperature of Si wafer This is a result of detecting the film formation rate for each set temperature of the Si wafer. The film formation rate is calculated based on the film thickness detected by XRF (fluorescence analyzer).

図6に示す実験結果によれば,580℃以上の温度範囲における成膜レートは,500℃〜550℃付近の温度範囲における成膜レートに比して,大きくなることがわかる。これは,580℃以上の温度範囲ではTi膜とその下地(Si)との珪化反応が起って,より安定なシリサイド相(例えばTiSiのC49相,C54相)が形成されるためと推察される。従って,Siウエハの設定温度が略580℃未満の温度範囲であれば,Ti膜と下地(Si)との反応が起らないので,シリサイド相(例えばTiSiのC49相,C54相)が形成されない。 According to the experimental results shown in FIG. 6, it can be seen that the film formation rate in the temperature range of 580 ° C. or higher is higher than the film formation rate in the temperature range of about 500 ° C. to 550 ° C. This is presumed to be because a silicidation reaction between the Ti film and its base (Si) occurs in a temperature range of 580 ° C. or more, and more stable silicide phases (for example, C49 phase and C54 phase of TiSi 2 ) are formed. Is done. Accordingly, when the set temperature of the Si wafer is in a temperature range less than about 580 ° C., the reaction between the Ti film and the base (Si) does not occur, so that silicide phases (for example, C49 phase and C54 phase of TiSi 2 ) are formed. Not.

従って,プロセス温度(ここではSiウエハの温度)を580℃未満の温度範囲に設定してTi膜成膜処理を行うことが好ましい。例えば565℃に設定するのがより好ましい。これにより,下地(Si)との間で珪化反応が起らずにTi膜を成膜することができる。   Therefore, it is preferable to set the process temperature (here, the temperature of the Si wafer) to a temperature range lower than 580 ° C. and perform the Ti film forming process. For example, it is more preferable to set the temperature to 565 ° C. Thereby, a Ti film can be formed without causing a silicidation reaction with the base (Si).

Ti膜成膜処理として,例えばプラズマCVDによりTi膜を成膜するCVD−Ti膜成膜処理を行う。このCVD−Ti膜成膜処理は,図7に示すように,先ず金属原料ガスとしての例えばTiClガスの供給と,還元ガスとしての例えばHガスの供給とArガスの供給と,プラズマ発生とを同時期に行ってTi膜を成膜する成膜工程を行う。その後,必要に応じて,後述するTiN膜成膜処理でTiN膜を成膜する際の密着性を高める等のため,NHガスの供給とArガスの供給とHガスの供給とプラズマ発生とを同時期に行って,Ti膜の表面を窒化する窒化工程を行う。 As the Ti film forming process, for example, a CVD-Ti film forming process for forming a Ti film by plasma CVD is performed. As shown in FIG. 7, this CVD-Ti film forming process is performed first by supplying, for example, TiCl 4 gas as a metal source gas, supplying H 2 gas and Ar gas as reducing gases, and generating plasma. Are performed at the same time to form a Ti film. Thereafter, if necessary, NH 3 gas supply, Ar gas supply, H 2 gas supply, and plasma generation are performed in order to improve adhesion when forming a TiN film in a TiN film formation process described later. At the same time, a nitriding step is performed to nitride the surface of the Ti film.

第1実施形態では,CVD−Ti膜成膜処理においても,プロセス温度(Siウエハの温度)を従来の650℃よりも低い580℃未満の温度範囲に設定して実行する。これにより,Ti膜のシリサイド相(例えばTiSiのC49相,C54相)が形成されずにCVD−Ti膜が成膜され,後に連続するTiシリサイド形成処理によってTiシリサイド膜を形成させるため,従来よりも下地(Si)との界面がフラットで均一なTiシリサイド膜を形成することができる。 In the first embodiment, also in the CVD-Ti film forming process, the process temperature (the temperature of the Si wafer) is set to a temperature range lower than 650 ° C. and lower than 580 ° C., and executed. As a result, a CVD-Ti film is formed without forming a silicide phase of the Ti film (for example, C49 phase or C54 phase of TiSi 2 ), and a Ti silicide film is formed by a subsequent Ti silicide forming process. In addition, a uniform Ti silicide film having a flat interface with the base (Si) can be formed.

また,Ti膜成膜処理としては,TiClガスを供給してSiウエハのSi表面上にTi膜の吸着反応(TiとSiとの反応)を生じさせる吸着工程と,還元ガスを供給してシリコン含有表面上に吸着したTi膜を還元する還元工程とを複数回繰返すことにより,Ti膜を成膜するTi膜成膜処理,例えば原子層堆積(ALD:Atomic Layered Deposition)の手法を用いたALD−Ti膜成膜処理を行うこともできる。この場合も,プロセス温度(Siウエハの温度)を580℃未満の温度範囲に設定する。 In addition, as the Ti film forming process, a TiCl 4 gas is supplied to cause an adsorption process (a reaction between Ti and Si) of the Ti film on the Si surface of the Si wafer, and a reducing gas is supplied. A Ti film forming process for forming a Ti film, for example, an atomic layered deposition (ALD) technique was used by repeating a reduction process for reducing the Ti film adsorbed on the silicon-containing surface a plurality of times. An ALD-Ti film forming process can also be performed. Also in this case, the process temperature (the temperature of the Si wafer) is set to a temperature range of less than 580 ° C.

このように,Ti膜の吸着工程と還元工程とを分けて複数回繰返してTi膜を成膜することにより,膜中の不純物が減るので,後に連続して行うシリサイド形成処理工程による珪化反応が安定する。これにより,下地(Si)との界面がさらにフラットで均一なTi膜を成膜することができる。   In this way, by separating the Ti film adsorption process and the reduction process separately and repeatedly forming the Ti film, impurities in the film are reduced, so that the silicidation reaction in the subsequent silicide formation process is performed. Stabilize. This makes it possible to form a Ti film having a flat and uniform interface with the base (Si).

特に,第1実施形態では,COR処理及びPHT処理による異物除去処理によってSiウエハのSi表面に自然酸化膜などの異物が付着していない状態で,連続してALD−Ti膜成膜処理によって原子配列を制御しながら,Ti膜を堆積させることができるので,より平坦で均一な膜を形成できる。さらに,それを熱処理して珪化反応を起させてTiシリサイド膜を形成するのでTiシリサイド膜の下地(Si)に対する膜厚均一性を原子レベルで制御できる。また,ALD−Ti膜成膜処理によれば,原子レベルでTi膜の膜厚を自由に制御できるので,ひいてはTiシリサイド膜の膜厚も自由に制御できる。   In particular, in the first embodiment, atomic substances such as a natural oxide film are not adhered to the Si surface of the Si wafer by the foreign substance removal process by the COR process and the PHT process, and the atomic process is continuously performed by the ALD-Ti film deposition process. Since the Ti film can be deposited while controlling the arrangement, a flatter and more uniform film can be formed. Furthermore, since the Ti silicide film is formed by heat treating it to cause a silicidation reaction, the film thickness uniformity with respect to the underlying (Si) of the Ti silicide film can be controlled at the atomic level. Further, according to the ALD-Ti film forming process, the film thickness of the Ti film can be freely controlled at the atomic level, so that the film thickness of the Ti silicide film can also be freely controlled.

このようなALD−Ti膜成膜処理の具体例を図8に示す。図8に示すプロセス処理では,先ずTiClガスを短時間供給して吸着反応させた後に,Arガスの供給とHガスの供給とプラズマ生成とを行って還元する工程を複数回繰返することによってTi膜を成膜する成膜工程を行う。この場合も,その後に,必要に応じて,NHガスの供給とArガスの供給とHガスの供給とプラズマ発生とを同時期に行う窒化工程を行う。 A specific example of such an ALD-Ti film forming process is shown in FIG. In the process shown in FIG. 8, first, TiCl 4 gas is supplied for a short time to cause an adsorption reaction, and then the Ar gas supply, the H 2 gas supply, and the plasma generation are performed and the reduction process is repeated a plurality of times. Then, a film forming process for forming a Ti film is performed. Also in this case, after that, a nitriding step is performed in which NH 3 gas supply, Ar gas supply, H 2 gas supply, and plasma generation are performed at the same time as necessary.

また,ALD−Ti膜成膜処理の他の例を図9に示す。図9に示すプロセス処理では,TiClガスの供給とTiClガスの供給とArガスの供給とプラズマ生成を同時期に行ってTiClガスを分解(resolution)しながら吸着反応させた後に,Hガスの供給を供給して熱還元する工程を複数回繰返することによってTi膜を成膜する成膜工程を行う。この場合も,その後に,必要に応じて,NHガスの供給とArガスの供給とHガスの供給とプラズマ発生とを同時期に行う窒化工程を行う。 Another example of the ALD-Ti film forming process is shown in FIG. In the process the process shown in FIG. 9, after the supply and plasma generation supply and Ar gas supply and TiCl 4 gas TiCl 4 gas performed at the same time by adsorption with decomposition of TiCl 4 gas (resolution), H A film forming process for forming a Ti film is performed by repeating the process of supplying two gases and performing thermal reduction a plurality of times. Also in this case, after that, a nitriding step is performed in which NH 3 gas supply, Ar gas supply, H 2 gas supply, and plasma generation are performed at the same time as necessary.

さらに,ALD−Ti膜成膜処理のさらに他の例を図10に示す。図10に示すプロセス処理では,TiClガスの供給とTiClガスの供給とArガスの供給とプラズマ生成を同時期に行ってTiClガスを分解(resolution)しながら吸着反応させた後に,Hガスの供給とArガスの供給とプラズマ発生を同時期に行ってプラズマ還元する工程を複数回繰返すことによってTi膜を成膜する成膜工程を行う。この場合も,その後に,必要に応じて,NHガスの供給とArガスの供給とHガスの供給とプラズマ発生とを同時期に行う窒化工程を行う。 Further, another example of the ALD-Ti film forming process is shown in FIG. In the process shown in FIG. 10, the supply of TiCl 4 gas, the supply of TiCl 4 gas, the supply of Ar gas, and the generation of plasma are performed at the same time to cause the adsorption reaction while decomposing the TiCl 4 gas while decomposing it. A film forming process for forming a Ti film is performed by repeating a process of plasma reduction by supplying two gases, supplying Ar gas, and generating plasma at the same time. Also in this case, after that, a nitriding step is performed in which NH 3 gas supply, Ar gas supply, H 2 gas supply, and plasma generation are performed at the same time as necessary.

なお,Ti膜成膜処理としては上記の他に,上記580℃よりもさらに低温の400℃〜450℃に設定してプラズマCVDによりTi膜を成膜するSFD(Sequential Flow Deposition)−Ti膜成膜処理を実行してもよい。SFD−Ti膜成膜処理は例えば図11に示すように,先ずTiClガスの供給とArガスの供給とHガスの供給とプラズマ発生とを同時期に行ってTiClガスの供給を止める工程を複数回繰返すことによってTi膜を成膜する成膜工程を行う。この場合も,その後に,必要に応じて,NHガスの供給とArガスの供給とHガスの供給とプラズマ発生とを同時期に行う窒化工程を行う。 In addition to the above, as the Ti film formation process, an SFD (Sequential Flow Deposition) -Ti film formation is performed in which a Ti film is formed by plasma CVD at a temperature lower than 400 ° C. to 450 ° C. lower than the above 580 ° C. Membrane processing may be performed. In the SFD-Ti film forming process, for example, as shown in FIG. 11, first, supply of TiCl 4 gas, supply of Ar gas, supply of H 2 gas, and generation of plasma are performed at the same time to stop supply of TiCl 4 gas. A film forming process for forming a Ti film is performed by repeating the process a plurality of times. Also in this case, after that, a nitriding step is performed in which NH 3 gas supply, Ar gas supply, H 2 gas supply, and plasma generation are performed at the same time as necessary.

次に,Ti膜成膜処理の後に連続して行われるTiシリサイド形成処理(アニール処理)について説明する。Tiシリサイド形成処理では,Siウエハを熱処理することにより,Ti膜166とその下地(Si)との珪化反応を起させてTi膜のシリサイド相(例えばTiSiのC49相,C54相)を形成する。これにより,Tiシリサイド膜167が形成される。このため,第1実施形態にかかるTiシリサイド形成処理では,プロセス温度をTi膜166とその下地(Si)との珪化反応が起る温度範囲に設定して行うことが好ましい。ここでいうTi膜166とその下地(Si)との珪化反応が起る温度範囲というのは,Ti膜の珪化反応を起させることによってTiシリサイドの結晶構造が形成される温度範囲,具体的にはTi膜のより安定なシリサイド相(例えばTiSiの準安定なC49相,安定なC54相)が形成される温度範囲である。 Next, a Ti silicide forming process (annealing process) performed continuously after the Ti film forming process will be described. In the Ti silicide formation process, the Si wafer is heat-treated to cause a silicidation reaction between the Ti film 166 and its base (Si) to form a silicide phase of the Ti film (for example, C49 phase and C54 phase of TiSi 2 ). . Thereby, a Ti silicide film 167 is formed. For this reason, in the Ti silicide formation processing according to the first embodiment, it is preferable to set the process temperature within a temperature range in which a silicidation reaction between the Ti film 166 and its base (Si) occurs. The temperature range in which the silicidation reaction between the Ti film 166 and the underlying layer (Si) occurs here is the temperature range in which the Ti silicide crystal structure is formed by causing the silicidation reaction of the Ti film, specifically, Is a temperature range in which a more stable silicide phase of the Ti film (for example, a metastable C49 phase or a stable C54 phase of TiSi 2 ) is formed.

ここで,Tiシリサイド形成処理において,上述したようなTi膜とその下地(Si)との珪化反応が起る温度範囲について説明する。図6に示す実験結果によれば,ウエハの設定温度が580℃以上の温度範囲において,Ti膜と下地(Si)との間で珪化反応が起ってTi膜のシリサイド相が形成されることについては既に説明した。ここでは,さらに580℃以上の温度範囲の中で,より安定なシリサイド相(例えばTiSiの準安定なC49相,安定なC54相)を形成するための最適な温度範囲について,図12に示す実験結果に基づいて考察する。 Here, the temperature range in which the silicidation reaction between the Ti film and its base (Si) as described above occurs in the Ti silicide formation process will be described. According to the experimental results shown in FIG. 6, a silicidation reaction occurs between the Ti film and the base (Si) in the temperature range of the wafer set temperature of 580 ° C. or more, and a silicide phase of the Ti film is formed. Already explained. Here, FIG. 12 shows an optimum temperature range for forming a more stable silicide phase (for example, a metastable C49 phase and a stable C54 phase of TiSi 2 ) in a temperature range of 580 ° C. or higher. Consider based on experimental results.

図12は,SiウエハのSi表面上のTi膜を熱処理したときのウエハの設定温度と,Tiシリサイド(例えばTiSi)の比抵抗及びこの比抵抗のウエハ面内均一性の関係をグラフに示した図である。図12に示す比抵抗のグラフによれば,ウエハの設定温度が高いほど,Tiシリサイドの結晶構造が変化(相転移)して低抵抗になることがわかる。また,図12に示す比抵抗の面内均一性のグラフは,Tiシリサイドの結晶構造の面内分布を表す。例えば630℃付近はC49相とC54相とが混じるので比抵抗の面内均一性が高くなっているので,面内分布が生じていることがわかる。 FIG. 12 is a graph showing the relationship between the set temperature of the wafer when the Ti film on the Si surface of the Si wafer is heat-treated, the specific resistance of Ti silicide (eg, TiSi 2 ), and the uniformity of the specific resistance within the wafer surface. It is a figure. According to the specific resistance graph shown in FIG. 12, it can be seen that the higher the set temperature of the wafer, the lower the resistance because the crystal structure of Ti silicide changes (phase transition). Further, the graph of the in-plane uniformity of specific resistance shown in FIG. 12 represents the in-plane distribution of the crystal structure of Ti silicide. For example, in the vicinity of 630 ° C., since the C49 phase and the C54 phase are mixed, the in-plane uniformity of the specific resistance is increased, and it can be seen that the in-plane distribution is generated.

この図12に示すグラフによれば,580℃以上の温度範囲では,590℃〜610℃付近の温度範囲で準安定なシリサイド相であるC49相が形成され,さらに高い640℃〜650℃付近の温度範囲で安定なシリサイド相であり,より低抵抗なC54相が形成される。   According to the graph shown in FIG. 12, in the temperature range of 580 ° C. or higher, a C49 phase that is a metastable silicide phase is formed in the temperature range of 590 ° C. to 610 ° C., and a higher temperature of 640 ° C. to 650 ° C. A silicide phase that is stable in the temperature range and a lower resistance C54 phase is formed.

従って,プロセス温度(ここではSiウエハの温度)を590℃〜610℃の温度範囲(好ましくは600℃)で設定してTiシリサイド形成処理を行うことにより,準安定なシリサイド相であるC49相の結晶構造を有する,低抵抗なTiシリサイド膜が形成される。   Accordingly, the Ti silicide formation process is performed by setting the process temperature (here, the temperature of the Si wafer) within a temperature range of 590 ° C. to 610 ° C. (preferably 600 ° C.), thereby allowing the C49 phase, which is a metastable silicide phase, to be formed. A low-resistance Ti silicide film having a crystal structure is formed.

また,プロセス温度(ここではSiウエハの温度)を640℃〜650℃の温度範囲(好ましくは650℃)で設定してTiシリサイド形成処理を行うことにより,安定なシリサイド相であるC54相の結晶構造を有する,より低抵抗なTiシリサイド膜が形成される。このように,Tiシリサイド形成処理では,熱処理を行う際のプロセス温度の設定を変えることによって,所望の結晶構造(所望の比抵抗)を有するTiシリサイド膜を形成することができる。   Further, the Ti silicide formation process is performed by setting the process temperature (here, the temperature of the Si wafer) in a temperature range of 640 ° C. to 650 ° C. (preferably 650 ° C.), so that a C54 phase crystal that is a stable silicide phase is obtained. A lower resistance Ti silicide film having a structure is formed. Thus, in the Ti silicide formation process, a Ti silicide film having a desired crystal structure (desired specific resistance) can be formed by changing the setting of the process temperature at the time of heat treatment.

なお,第1実施形態におけるTiシリサイド形成処理によって,C54相のTiシリサイド膜を形成するためには,上述したようにプロセス温度を640℃〜650℃の温度範囲として熱処理を行って一気にC54相のTiシリサイド膜を形成してもよいが,2段階に分けて熱処理を行う2段階アニール処理によって形成してもよい。すなわち,先ずプロセス温度を590℃〜610℃の温度範囲(例えば600℃)で設定して熱処理を行うことにより,C49相のTiシリサイド膜を形成する(第1アニール処理)。続いて,プロセス温度を640℃〜650℃の温度範囲(例えば650℃)として熱処理を行って相転移させることによって,C54相のTiシリサイド膜を形成してもよい。これにより,C54相のTiシリサイド膜をより安定して形成することができる。   In order to form the C54 phase Ti silicide film by the Ti silicide formation process in the first embodiment, as described above, the heat treatment is performed at a temperature range of 640 ° C. to 650 ° C. Although a Ti silicide film may be formed, it may be formed by a two-stage annealing process in which heat treatment is performed in two stages. That is, first, a C49-phase Ti silicide film is formed by performing heat treatment by setting the process temperature in a temperature range of 590 ° C. to 610 ° C. (eg, 600 ° C.) (first annealing treatment). Subsequently, a C54 phase Ti silicide film may be formed by performing a phase transition by performing a heat treatment in a temperature range of 640 ° C. to 650 ° C. (for example, 650 ° C.). As a result, the C54 phase Ti silicide film can be formed more stably.

(処理室の構成例)
次に,図1に示す基板処理装置100における処理室の構成例を説明する。基板処理装置100は,Siウエハ上の自然酸化膜などの異物を水成分を用いず且つプラズマを用いずに除去する異物除去処理と,この異物除去処理が施されたSiウエハのSi表面上に第1金属膜(例えばTi膜)を成膜した上で,第1金属膜と下地(Si)との間で珪化反応を起させて第1金属シリサイド膜(例えばTiシリサイド膜)を形成する第1金属シリサイド膜形成処理(例えばTiシリサイド膜形成処理)と,第1金属シリサイド膜上に第2金属膜(例えばTiN膜)を成膜する第2金属膜成膜処理(TiN膜成膜処理)と,を連続して実行可能な構成にすることができる。
(Configuration example of processing chamber)
Next, a configuration example of the processing chamber in the substrate processing apparatus 100 shown in FIG. 1 will be described. The substrate processing apparatus 100 is configured to remove foreign matter such as a natural oxide film on the Si wafer without using a water component and without using plasma, and on the Si surface of the Si wafer subjected to the foreign matter removal processing. A first metal film (for example, Ti film) is formed, and then a silicidation reaction is caused between the first metal film and the base (Si) to form a first metal silicide film (for example, Ti silicide film). 1 metal silicide film forming process (for example, Ti silicide film forming process) and a second metal film forming process (TiN film forming process) for forming a second metal film (for example, TiN film) on the first metal silicide film And can be executed continuously.

例えば処理室104A〜104Fのうちの少なくとも3つの処理室をそれぞれ異物除去処理室,第1金属シリサイド膜形成処理室,第2金属膜成膜処理室として構成する。このうち異物除去処理については,生成物生成処理(例えばCOR処理)と,生成物除去処理(例えばPHT処理)との2段階の処理によって行う場合には,生成物生成処理室,生成物除去処理室の2つの処理室で構成してもよい。   For example, at least three of the processing chambers 104A to 104F are configured as a foreign substance removal processing chamber, a first metal silicide film formation processing chamber, and a second metal film deposition processing chamber, respectively. Among these, the foreign matter removal process is performed in two stages of product generation process (for example, COR process) and product removal process (for example, PHT process). You may comprise with two process chambers of a chamber.

また,第1金属シリサイド膜形成処理については,第1金属膜(例えばTi膜)を成膜する第1金属膜成膜処理(例えばTi膜成膜処理)と,この第1金属膜を珪化させて第1金属シリサイド膜を形成する第1金属シリサイド形成処理(例えばTiシリサイド形成処理)とを別の処理室で行う場合には,第1金属膜成膜処理室,第1金属シリサイド形成処理室の2つの処理室で構成してもよい。このように,基板処理装置100によって実行される処理内容に応じて各処理室104A〜104Fの構成が決定される。   The first metal silicide film forming process includes a first metal film forming process (for example, a Ti film forming process) for forming a first metal film (for example, a Ti film) and a silicidation of the first metal film. When the first metal silicide formation process (for example, Ti silicide formation process) for forming the first metal silicide film is performed in a separate process chamber, the first metal film formation process chamber and the first metal silicide formation process chamber are performed. The two processing chambers may be configured. Thus, the configuration of each of the processing chambers 104A to 104F is determined according to the processing content executed by the substrate processing apparatus 100.

ここで,例えばコンタクトホールが形成されたSiウエハWを基板処理装置100に導入し,このSiウエハWに対して上述したような異物除去処理としてのCOR処理,PHT処理を連続して実行した後,Ti膜成膜処理,Tiシリサイド形成処理,TiN膜成膜処理を連続して実行する場合の基板処理装置100における処理室の構成例を図13に示す。   Here, for example, after the Si wafer W in which the contact hole is formed is introduced into the substrate processing apparatus 100, the COR processing and the PHT processing as the foreign matter removal processing as described above are continuously performed on the Si wafer W. FIG. 13 shows a configuration example of the processing chamber in the substrate processing apparatus 100 when the Ti film forming process, the Ti silicide forming process, and the TiN film forming process are successively executed.

図13に示す構成例は,第1共通搬送室120に接続される処理室104A,104B,104CをそれぞれTi膜成膜処理室,TiN膜成膜処理室,Tiシリサイド形成処理室として構成し,第2共通搬送室120に接続される処理室104E,104FをそれぞれCOR処理室,PHT処理室として構成したものである。各処理室104A〜104C,104E,104Fにおける処理はそれぞれ,後述する制御部200のEC(装置制御部)300に設けられるプログラムデータ記憶手段360に記憶されたプロセス処理プログラム364に基づいて実行される。すなわち,EC300のCPU310はプロセス処理プログラム364から必要な処理プログラムを読出し,処理データ記憶手段370に記憶されるプロセス処理情報(例えばプロセスレシピ情報)374から必要な情報を読み出して各処理を実行する。なお,制御部200の構成の詳細は後述する。   In the configuration example shown in FIG. 13, the processing chambers 104A, 104B, and 104C connected to the first common transfer chamber 120 are configured as a Ti film deposition processing chamber, a TiN film deposition processing chamber, and a Ti silicide formation processing chamber, respectively. The processing chambers 104E and 104F connected to the second common transfer chamber 120 are configured as a COR processing chamber and a PHT processing chamber, respectively. Processing in each of the processing chambers 104A to 104C, 104E, and 104F is executed based on a process processing program 364 stored in a program data storage unit 360 provided in an EC (apparatus control unit) 300 of the control unit 200 described later. . That is, the CPU 310 of the EC 300 reads a necessary processing program from the process processing program 364, reads necessary information from the process processing information (for example, process recipe information) 374 stored in the processing data storage unit 370, and executes each processing. Details of the configuration of the control unit 200 will be described later.

(COR処理室の構成例)
次に,COR処理室の構成例について図面を参照しながら説明する。COR処理室は,例えば図14に示すような励起ガス反応処理室400によって構成される。この励起ガス反応処理室400は,SiウエハWを収容する気密に構成された略円筒状の処理室411を有しており,その中にはウエハWを水平に支持するためのサセプタ412が配置されている。
(Configuration example of COR processing chamber)
Next, a configuration example of the COR processing chamber will be described with reference to the drawings. The COR processing chamber includes an excited gas reaction processing chamber 400 as shown in FIG. 14, for example. The excited gas reaction processing chamber 400 has a substantially cylindrical processing chamber 411 that is hermetically configured to accommodate the Si wafer W, and a susceptor 412 for horizontally supporting the wafer W is disposed therein. Has been.

サセプタ412の内部には冷媒流路414が設けられており,冷媒流路414には冷媒供給源416から冷媒が供給されるようになっている。そして,冷媒流路414に冷媒を通流することにより,サセプタ412の温度ひいてはウエハWの温度を例えば常温に制御することが可能である。冷媒の温度および制御温度によっては,サセプタ412内にヒータを設けてもよい。   A coolant channel 414 is provided inside the susceptor 412, and the coolant is supplied from the coolant supply source 416 to the coolant channel 414. Then, by passing the coolant through the coolant channel 414, the temperature of the susceptor 412 and thus the temperature of the wafer W can be controlled to, for example, room temperature. Depending on the temperature of the refrigerant and the control temperature, a heater may be provided in the susceptor 412.

また,サセプタ412には,ウエハWを支持して昇降させるための3本のウエハ支持ピン(図示せず)がサセプタ412の表面に対して昇降可能に設けられている。なお,ウエハ支持ピンおよびその昇降機構は後述するTi成膜処理室600に示すもの(図16参照)と同様に構成されている。   The susceptor 412 is provided with three wafer support pins (not shown) for supporting the wafer W and raising and lowering it so as to be raised and lowered with respect to the surface of the susceptor 412. The wafer support pins and the lifting mechanism thereof are configured in the same manner as that shown in a Ti film forming chamber 600 (see FIG. 16) described later.

処理室411の天壁411aには,シャワーヘッド420が設けられている。シャワーヘッド420は下層部421および上層部422の2層構造となっており,これら下層部421および上層部422にはそれぞれ第1バッファ空間423および第2バッファ空間424を有している。   A shower head 420 is provided on the top wall 411 a of the processing chamber 411. The shower head 420 has a two-layer structure of a lower layer portion 421 and an upper layer portion 422. The lower layer portion 421 and the upper layer portion 422 have a first buffer space 423 and a second buffer space 424, respectively.

上層部422の上面は蓋部材425で塞がれており,蓋部材425にはNHガスを導入するNHガス導入部426およびHFガスを導入するHFガス導入部427が形成されている。NHガス導入部426は第1バッファ空間423に接続されており,HFガス導入部427はガス導入路427aを経て第2バッファ空間424に接続されている。そして,第1バッファ空間423から下方に向けてNHガスを吐出するNHガス吐出孔428,および第2バッファ空間424から下方に向けてHFガスを吐出するHFガス吐出孔429が形成されている。 Upper surface of the upper portion 422 is closed by a lid member 425, HF gas inlet 427 for introducing NH 3 gas introducing portion 426 and HF gas introducing NH 3 gas is formed in the lid member 425. The NH 3 gas introduction part 426 is connected to the first buffer space 423, and the HF gas introduction part 427 is connected to the second buffer space 424 via the gas introduction path 427a. Then, NH 3 gas discharge holes 428 for discharging NH 3 gas downward from the first buffer space 423 and HF gas discharge holes 429 for discharging HF gas downward from the second buffer space 424 are formed. Yes.

上記NHガス導入部426にはNHガスライン430を介してNHガス供給源432が接続されており,このNHガス供給源432からNHガスライン430を経てNHガス導入部426にNHガスが供給される。一方,上記HFガス導入部427にはHFガスライン431を介してHFガス供給源433が接続されており,このHFガス供給源433からHFガスライン431を経てHFガス導入部427にHFガスが供給される。そして,各ガスラインにはマスフローコントローラ435およびマスフローコントローラ435を挟んで2つのバルブ434が設けられている。 The above NH 3 gas inlet 426 is connected to the NH 3 gas supply source 432 via the NH 3 gas line 430, NH 3 gas inlet 426 through the NH 3 gas line 430 from the NH 3 gas supply source 432 Is supplied with NH 3 gas. On the other hand, an HF gas supply source 433 is connected to the HF gas introduction part 427 via an HF gas line 431, and HF gas is supplied from the HF gas supply source 433 to the HF gas introduction part 427 via the HF gas line 431. Supplied. Each gas line is provided with two valves 434 across the mass flow controller 435 and the mass flow controller 435.

NHガス導入部426およびHFガス導入部427にそれぞれ供給されたNHガスおよびHFガスは,シャワーヘッド420内で上述のように互いに独立した経路を通ってNHガス吐出孔428およびHFガス吐出孔429から全く独立して処理室411内に供給されるポストミックスタイプとなっている。 NH 3 gas inlet 426 and HF NH 3 gas are supplied to the gas inlet portion 427 and HF gas, NH 3 gas discharging holes 428 and HF gas through the mutually independent paths as described above in the shower head 420 The post-mix type is supplied into the processing chamber 411 completely independently from the discharge hole 429.

処理室411の底壁には,排気管436が接続されており,この排気管436には真空ポンプを含む排気装置437が接続されている。そして排気装置437を作動させることにより処理室411内を所定の真空度まで減圧することができる。   An exhaust pipe 436 is connected to the bottom wall of the processing chamber 411, and an exhaust apparatus 437 including a vacuum pump is connected to the exhaust pipe 436. Then, by operating the exhaust device 437, the inside of the processing chamber 411 can be decompressed to a predetermined degree of vacuum.

また,処理室411の側壁にはゲートバルブGが設けられており,このゲートバルブGを開にした状態でSiウエハWが隣接する第2共通搬送室122との間で搬送されるようになっている。   Further, a gate valve G is provided on the side wall of the processing chamber 411, and the Si wafer W is transferred between the adjacent second common transfer chamber 122 with the gate valve G opened. ing.

このように構成されるCOR室400においては,排気装置437により処理室411内を排気して所定の減圧状態にし,ゲートバルブGを開にして,第2搬送装置124により真空状態の第1共通搬送室122から処理室411内にSiウエハWを挿入し,サセプタ412上に載置する。その後,ゲートバルブGを閉じる。   In the COR chamber 400 configured as described above, the inside of the processing chamber 411 is exhausted by the exhaust device 437 to a predetermined reduced pressure state, the gate valve G is opened, and the first common in the vacuum state is opened by the second transfer device 124. The Si wafer W is inserted from the transfer chamber 122 into the processing chamber 411 and placed on the susceptor 412. Thereafter, the gate valve G is closed.

ヒータ413および冷媒によりSiウエハWの温度を所定の温度にした状態で,NHガス供給源432およびHFガス供給源433からNHガスライン430およびHFガスライン431およびシャワーヘッド420を介して,NHガスおよびHFガスを別個独立に処理室411内に所定流量で導入する。これらガスにより,SiウエハWにおいて露出するSi表面の自然酸化膜に化学的作用が及ぼされ,例えば熱による分解が可能な(NHSiF等が生成される。この処理の後,ゲートバルブGが開かれ,SiウエハWが第2搬送装置124により第2共通搬送室122へ搬出される。その後,SiウエハWはPHT処理室に搬入されて熱処理されることにより,上記反応成分が分解・揮発して,自然酸化膜が除去される。 In a state where the temperature of the Si wafer W is set to a predetermined temperature by the heater 413 and the refrigerant, the NH 3 gas supply source 432 and the HF gas supply source 433 are passed through the NH 3 gas line 430, the HF gas line 431, and the shower head 420. NH 3 gas and HF gas are separately and independently introduced into the processing chamber 411 at a predetermined flow rate. These gases cause a chemical action on the natural oxide film on the Si surface exposed in the Si wafer W, and for example, (NH 4 ) 2 SiF 6 that can be decomposed by heat is generated. After this processing, the gate valve G is opened, and the Si wafer W is unloaded to the second common transfer chamber 122 by the second transfer device 124. Thereafter, the Si wafer W is carried into the PHT processing chamber and subjected to heat treatment, whereby the reaction components are decomposed and volatilized, and the natural oxide film is removed.

なお,この励起ガス反応処理室400における処理条件は,例えば圧力が0.67〜133.3Pa,ウエハ温度が10〜30℃,ガス流量がNH:10〜80mL/min,HF:10〜80mL/minである。 The processing conditions in the excited gas reaction processing chamber 400 are, for example, a pressure of 0.67 to 133.3 Pa, a wafer temperature of 10 to 30 ° C., a gas flow rate of NH 3 : 10 to 80 mL / min, and HF: 10 to 80 mL. / Min.

(PHT処理室の構成例)
次に,PHT処理室について図面を参照しながら説明する。PHT処理室は,例えば図15に示すような熱処理室500によって構成される。この熱処理室500は,ウエハWを収容する気密に構成された略円筒状の処理室511を有しており,処理室511内にはSiウエハWを載置して加熱するための加熱プレート512が設けられている。
(Configuration example of PHT processing chamber)
Next, the PHT processing chamber will be described with reference to the drawings. The PHT processing chamber is constituted by a heat treatment chamber 500 as shown in FIG. 15, for example. The heat treatment chamber 500 includes a substantially cylindrical processing chamber 511 that is hermetically configured to accommodate the wafer W, and a heating plate 512 for placing and heating the Si wafer W in the processing chamber 511. Is provided.

加熱プレート522の内部には加熱手段としてのヒータ523が設けられおり,その上に載置されたSiウエハWを加熱するようになっている。ヒータ523にはヒータ電源524が接続されている。   A heater 523 as a heating means is provided inside the heating plate 522, and the Si wafer W placed thereon is heated. A heater power source 524 is connected to the heater 523.

また,加熱プレート522には,SiウエハWを支持して昇降させるための3本のウエハ支持ピン(図示せず)が加熱プレート522の表面に対して突没可能に設けられている。なお,ウエハ支持ピンおよびその昇降機構は後述するTi膜成膜装置600に示すものと同様に構成されている。   The heating plate 522 is provided with three wafer support pins (not shown) for supporting and lifting the Si wafer W so as to protrude and retract with respect to the surface of the heating plate 522. The wafer support pins and the lifting mechanism thereof are configured in the same manner as shown in a Ti film forming apparatus 600 described later.

なお,上記加熱手段としては,加熱プレート522内にヒータ523を設けるのみならず,処理室511の天井にヒータを設けてもよく,さらに側壁にヒータを設けてもよい。また,加熱手段としてヒータを用いる代わりに,ランプを用いてもよい。   As the heating means, not only the heater 523 is provided in the heating plate 522, but a heater may be provided on the ceiling of the processing chamber 511, and a heater may be provided on the side wall. Further, instead of using a heater as the heating means, a lamp may be used.

処理室511の底壁には,排気管515が接続されており,この排気管515には真空ポンプを含む排気装置516が接続されている。そして排気装置516を作動させることにより処理室511内を所定の真空度まで減圧することができる。   An exhaust pipe 515 is connected to the bottom wall of the processing chamber 511, and an exhaust device 516 including a vacuum pump is connected to the exhaust pipe 515. Then, by operating the exhaust device 516, the inside of the processing chamber 511 can be decompressed to a predetermined degree of vacuum.

処理室511の側壁には,ガスライン517を介してNガス供給源518が接続されており,このNガス供給源518からガスライン517を介して不活性ガスとしてのNガスが処理室511内に導入され,不活性ガス雰囲気で熱処理が行われるようになっている。ガスライン517には,マスフローコントローラ520およびそれを挟んで2つのバルブ519が設けられている。なお,供給される不活性ガスはNガスに限らず,Arガス等他の不活性ガスであってもよい。 The side wall of the processing chamber 511 is connected N 2 gas supply source 518 via the gas line 517, N 2 gas is treated as an inert gas from the N 2 gas supply source 518 via the gas line 517 It is introduced into the chamber 511 and heat treatment is performed in an inert gas atmosphere. The gas line 517 is provided with a mass flow controller 520 and two valves 519 sandwiching it. The supplied inert gas is not limited to N 2 gas, but may be other inert gas such as Ar gas.

また,上述したゲートバルブGが処理室511の側壁に設けられており,このゲートバルブGを開にした状態でSiウエハWが隣接する第2共通搬送室122との間で搬送されるようになっている。   The gate valve G described above is provided on the side wall of the processing chamber 511 so that the Si wafer W can be transferred between the adjacent second common transfer chamber 122 with the gate valve G opened. It has become.

このような熱処理室500においては,不活性ガスであるNガスを処理室511内に導入した状態でヒータ513によりSiウエハWの温度を100〜500℃程度に加熱して,上記COR処理室での処理によりSiウエハWのSi表面上に生成された生成物(例えば(NHSiF等)を熱分解し,昇華させて排気する。こうして,SiウエハWのSi表面上の自然酸化膜などの異物を完全に除去することができる。 In such a heat treatment chamber 500, the temperature of the Si wafer W is heated to about 100 to 500 ° C. by the heater 513 in a state where N 2 gas which is an inert gas is introduced into the treatment chamber 511, and the COR processing chamber. A product (for example, (NH 4 ) 2 SiF 6 or the like) generated on the Si surface of the Si wafer W by the treatment in is thermally decomposed, sublimated, and exhausted. In this way, foreign substances such as a natural oxide film on the Si surface of the Si wafer W can be completely removed.

(Ti膜成膜処理室の構成例)
次に,Ti膜成膜処理室の構成例について図面を参照しながら説明する。Ti膜成膜処理室は,プラズマCVDによりTi膜を成膜する,例えば図16に示すようなプラズマCVD処理室600によって構成される。このプラズマCVD処理室600は,気密に構成された略円筒状の処理室611を有している。
(Configuration example of Ti film deposition chamber)
Next, a configuration example of the Ti film deposition processing chamber will be described with reference to the drawings. The Ti film formation processing chamber is constituted by a plasma CVD processing chamber 600 as shown in FIG. 16, for example, for forming a Ti film by plasma CVD. The plasma CVD processing chamber 600 has a substantially cylindrical processing chamber 611 that is airtight.

処理室611の中にはウエハWを水平に支持するためのサセプタ612がその中央下部に設けられた円筒状の支持部材613により支持された状態で配置されている。このサセプタ612はAlN等のセラミックスからなり,その外縁部にはウエハWをガイドするためのガイドリング614が設けられている。   In the processing chamber 611, a susceptor 612 for horizontally supporting the wafer W is disposed in a state of being supported by a cylindrical support member 613 provided at the lower center of the susceptor 612. The susceptor 612 is made of a ceramic such as AlN, and a guide ring 614 for guiding the wafer W is provided on the outer edge thereof.

また,サセプタ612にはヒータ615が埋め込まれており,このヒータ615はヒータ電源616から給電されることによりウエハWを所定の温度に加熱する。サセプタ612には,下部電極として機能する電極618がヒータ615の上に埋設されている。   A heater 615 is embedded in the susceptor 612, and the heater 615 is heated by the heater power source 616 to heat the wafer W to a predetermined temperature. In the susceptor 612, an electrode 618 functioning as a lower electrode is embedded on the heater 615.

処理室611の天壁611aには,絶縁部材619を介してシャワーヘッド620が設けられている。このシャワーヘッド620は,上段ブロック体620a,中段ブロック体620b,下段ブロック体620cで構成されている。下段ブロック体620cの外周近傍には,リング状をなすヒータ656が埋設されており,このヒータ656はヒータ電源657から給電されることにより,シャワーヘッド620を所定温度に加熱することが可能となっている。   A shower head 620 is provided on the top wall 611 a of the processing chamber 611 via an insulating member 619. The shower head 620 includes an upper block body 620a, an intermediate block body 620b, and a lower block body 620c. A ring-shaped heater 656 is embedded in the vicinity of the outer periphery of the lower block body 620c. The heater 656 is supplied with power from a heater power source 657, so that the shower head 620 can be heated to a predetermined temperature. ing.

下段ブロック体620cにはガスを吐出する吐出孔627と吐出孔628とが交互に形成されている。上段ブロック体620aの上面には,第1のガス導入口621と,第2のガス導入口622とが形成されている。   Discharge holes 627 and discharge holes 628 for discharging gas are alternately formed in the lower block body 620c. A first gas inlet 621 and a second gas inlet 622 are formed on the upper surface of the upper block body 620a.

上段ブロック体620aの中では,第1のガス導入口621から多数のガス通路623が分岐している。中段ブロック体620bにはガス通路625が形成されており,上記ガス通路623が水平に延びる連通路623aを介してこれらガス通路625に連通している。さらにこのガス通路625が下段ブロック体620cの吐出孔627に連通している。   In the upper block body 620a, a large number of gas passages 623 branch from the first gas introduction port 621. Gas passages 625 are formed in the middle block body 620b, and the gas passages 623 communicate with these gas passages 625 through communication passages 623a extending horizontally. Further, the gas passage 625 communicates with the discharge hole 627 of the lower block body 620c.

また,上段ブロック体620aの中では,第2のガス導入口622から多数のガス通路624が分岐している。中段ブロック体620bにはガス通路626が形成されており,上記ガス通路624がこれらガス通路626に連通している。さらにこのガス通路626が中段ブロック体620b内に水平に延びる連通路626aに接続されており,この連通路626aが下段ブロック体620cの多数の吐出孔628に連通している。そして,上記第1および第2のガス導入口621,622は,それぞれ後述するガス供給機構630のガスライン638,640に接続されている。   In the upper block body 620a, a large number of gas passages 624 are branched from the second gas introduction port 622. Gas passages 626 are formed in the middle block body 620b, and the gas passages 624 communicate with the gas passages 626. Further, the gas passage 626 is connected to a communication passage 626a extending horizontally in the middle block body 620b, and the communication passage 626a communicates with a number of discharge holes 628 of the lower block body 620c. The first and second gas inlets 621 and 622 are connected to gas lines 638 and 640 of a gas supply mechanism 630 described later, respectively.

ガス供給機構630は,クリーニングガスであるCIFガスを供給するCIFガス供給源631,Ti化合物ガスであるTiClガスを供給するTiClガス供給源632,Arガスを供給する第1のArガス供給源633,還元ガスであるHガスを供給するHガス供給源634,窒化ガスであるNHガスを供給するNHガス供給源635,Arガスを供給する第2のArガス供給源636を有している。 Gas supply mechanism 630, a cleaning gas CIF 3 gas CIF 3 gas supply source 631 for supplying, Ti compound TiCl supplying TiCl 4 gas is a gas 4 gas supply source 632, supplies the Ar gas first Ar gas supply source 633, a reducing gas at a H 2 gas H 2 gas supply source 634 for supplying a second Ar gas supply for supplying the NH 3 gas for supplying the NH 3 gas supply source 635, Ar gas is a gas nitriding A source 636 is provided.

そして,CIFガス供給源631にはCIFガス供給ライン637が接続されており,TiClガス供給源632にはTiClガス供給ライン638が接続されている。また,第1のArガス供給源633には第1のArガス供給ライン639が接続されており,Hガス供給源634にはHガス供給ライン640が接続されている。さらに,NHガス供給源635にはNHガス供給ライン640aが接続されており,第2のArガス供給源636には第2のArガス供給ライン640bが接続されている。 A CIF 3 gas supply line 637 is connected to the CIF 3 gas supply source 631, and a TiCl 4 gas supply line 638 is connected to the TiCl 4 gas supply source 632. In addition, a first Ar gas supply line 639 is connected to the first Ar gas supply source 633, and an H 2 gas supply line 640 is connected to the H 2 gas supply source 634. Further, the NH 3 gas supply source 635 is connected to the NH 3 gas supply line 640a, the second Ar gas supply source 636 is connected to the second Ar gas supply line 640b.

また,図示しないが,Nガス供給源も有している。そして,各ガスラインにはマスフローコントローラ642およびこのマスフローコントローラ642を挟んで2つのバルブ641が設けられている。 Although not shown, also has N 2 gas supply source. Each gas line is provided with a mass flow controller 642 and two valves 641 sandwiching the mass flow controller 642.

上記第1のガス導入口621にはTiClガス供給源632から延びるTiClガス供給ライン638が接続されており,このTiClガス供給ライン638にはCIFガス供給源631から延びるCIFガス供給ライン637および第1のArガス供給源633から延びる第1のArガス供給ライン639が接続されている。 CIF 3 gas extending from above the first gas inlet 621 is connected to the TiCl 4 gas supply line 638 extending from the TiCl 4 gas supply source 632, CIF 3 gas supply source 631 to the TiCl 4 gas supply line 638 A first Ar gas supply line 639 extending from the supply line 637 and the first Ar gas supply source 633 is connected.

また,前記第2のガス導入口622にはHガス供給源634から延びるHガス供給ライン640が接続されており,このHガス供給ライン640には,NHガス供給源635から延びるNHガス供給ライン640a,第2のArガス供給源636から延びる第2のArガス供給ライン640bが接続されている。 In addition, an H 2 gas supply line 640 extending from an H 2 gas supply source 634 is connected to the second gas introduction port 622, and the H 2 gas supply line 640 extends from an NH 3 gas supply source 635. An NH 3 gas supply line 640a and a second Ar gas supply line 640b extending from the second Ar gas supply source 636 are connected.

したがって,プロセス時には,TiClガス供給源632からのTiClガスが第1のArガス供給源633からのArガスとともにTiClガス供給ライン638を介してシャワーヘッド620の第1のガス導入口621からシャワーヘッド620内に至り,ガス通路623,625を経て吐出孔627から処理室611内へ吐出される。 Therefore, when the process, the first gas inlet of the TiCl 4 gas supply source TiCl 4 gas from the 632 shower head 620 through the TiCl 4 gas supply line 638 with Ar gas from the first Ar gas supply source 633 621 From the discharge hole 627 to the process chamber 611 through the gas passages 623 and 625.

一方,Hガス供給源634からのHガスが第2のArガス供給源636からのArガスとともにHガス供給ガスライン640を介してシャワーヘッド620の第2のガス導入口622からシャワーヘッド620内に至り,ガス通路624,626を経て吐出孔628から処理室611内へ吐出される。 Meanwhile, a shower from the second gas inlet port 622 of the shower head 620 through the H 2 gas supply gas line 640 H 2 gas together with Ar gas from the second Ar gas supply source 636 from the H 2 gas supply source 634 The ink reaches the inside of the head 620 and is discharged from the discharge hole 628 into the processing chamber 611 through the gas passages 624 and 626.

このように,シャワーヘッド620は,TiClガスとHガスとが全く独立して処理室611内に供給されるポストミックスタイプとなっており,これらは吐出後に混合され反応が生じる。 Thus, the shower head 620 is a post-mix type in which TiCl 4 gas and H 2 gas are supplied into the processing chamber 611 completely independently, and these are mixed and reacted after discharge.

シャワーヘッド620には,整合器659を介して高周波電源644が接続されており,成膜の際にこの高周波電源644からシャワーヘッド620に,例えば450kHzの高周波電力が供給されることにより,シャワーヘッド620および電極618の間に高周波電界が生じ,処理室611内に供給された成膜ガスをプラズマ化し,Ti膜を成膜するようになっている。   A high frequency power source 644 is connected to the shower head 620 via a matching unit 659. When film formation is performed, a high frequency power of 450 kHz, for example, is supplied from the high frequency power source 644 to the shower head 620. A high-frequency electric field is generated between 620 and the electrode 618, and the deposition gas supplied into the processing chamber 611 is turned into plasma to form a Ti film.

処理室611の底壁611bの中央部には円形の穴645が形成されており,底壁611bにはこの穴645を覆うように下方に向けて突出する排気室646が設けられている。排気室646の側面には排気管647が接続されており,この排気管647には排気装置648が接続されている。そしてこの排気装置648を作動させることにより処理室611内を所定の真空度まで減圧することが可能となっている。   A circular hole 645 is formed at the center of the bottom wall 611b of the processing chamber 611, and an exhaust chamber 646 that protrudes downward is provided on the bottom wall 611b so as to cover the hole 645. An exhaust pipe 647 is connected to the side surface of the exhaust chamber 646, and an exhaust device 648 is connected to the exhaust pipe 647. By operating the exhaust device 648, the inside of the processing chamber 611 can be depressurized to a predetermined degree of vacuum.

サセプタ612には,SiウエハWを支持して昇降させるための3本(2本のみ図示)のウエハ支持ピン649がサセプタ612の表面に対して突没可能に設けられ,これらウエハ支持ピン649は支持板650に固定されている。そして,ウエハ支持ピン649は,エアシリンダ等の駆動機構651により支持板650を介して昇降される。   The susceptor 612 is provided with three (only two shown) wafer support pins 649 for supporting the Si wafer W to be moved up and down so as to protrude and retract with respect to the surface of the susceptor 612. It is fixed to the support plate 650. The wafer support pins 649 are moved up and down via a support plate 650 by a drive mechanism 651 such as an air cylinder.

処理室611の側壁には,第1共通搬送室102との間でSiウエハWの搬入出を行うための搬入出口652と,この搬入出口652を開閉するゲートバルブGとが設けられている。   On the side wall of the processing chamber 611, a loading / unloading port 652 for loading / unloading the Si wafer W to / from the first common transfer chamber 102 and a gate valve G for opening / closing the loading / unloading port 652 are provided.

このように構成される処理室611において,Ti膜の成膜を行う際には,先ず,排気装置648により処理室611内を排気して所定の真空状態とし,ヒータ615によりサセプタ612を所定温度に加熱するとともに,ヒータ656によりシャワーヘッド620を所定温度に加熱する。   In forming the Ti film in the processing chamber 611 configured as described above, first, the inside of the processing chamber 611 is evacuated by the exhaust device 648 to a predetermined vacuum state, and the susceptor 612 is set to a predetermined temperature by the heater 615. And the shower head 620 is heated to a predetermined temperature by the heater 656.

この状態で高周波電源644からシャワーヘッド620に高周波電力を印加しつつ,TiClガス供給源632,第1のArガス供給源633から第1のガス導入口621へTiClガスおよびArガスを供給し,Hガス供給源634,第2のArガス供給源636から第2のガス導入口622へHガスおよびArガスを供給し,それぞれガス吐出孔627,628から吐出する。 In this state, TiCl 4 gas and Ar gas are supplied from the TiCl 4 gas supply source 632 and the first Ar gas supply source 633 to the first gas inlet 621 while applying high frequency power from the high frequency power source 644 to the shower head 620. and, H 2 gas supply source 634, a second Ar gas supply source 636 to the second gas inlet 622 supplies H 2 gas and Ar gas, respectively discharged from the gas discharge holes 627 and 628.

これにより処理室611内にこれらガスのプラズマを生成させ,処理室611の内壁およびシャワーヘッド620等の処理室内部材のプリコート処理を行っておく。この際のガス流量は,例えばTiClガス:0.001〜0・02L/min,Hガス:1.5〜4L/min,Arガス:0.3〜1.6L/min程度である。これにより,SiウエハW上にTi膜を成膜する際に,SiウエハWの温度変化を略一定にすることができる。 As a result, plasma of these gases is generated in the processing chamber 611, and the inner wall of the processing chamber 611 and the processing chamber members such as the shower head 620 are precoated. The gas flow rates at this time are, for example, about TiCl 4 gas: 0.001 to 0.02 L / min, H 2 gas: 1.5 to 4 L / min, Ar gas: about 0.3 to 1.6 L / min. Thereby, when the Ti film is formed on the Si wafer W, the temperature change of the Si wafer W can be made substantially constant.

このようなプリコート処理が終了後,TiClガス,Hガスの供給および高周波電源644からシャワーヘッド620を介して第1のArガス供給源633,第2のArガス供給源636からそれぞれArガスを徐々に流量を増加させて処理室611内に導入(ランプアップ)し,ヒータ615により処理室611内を予備加熱する。 After such pre-coating process is completed, the supply of TiCl 4 gas and H 2 gas and the Ar gas from the first Ar gas supply source 633 and the second Ar gas supply source 636 from the high frequency power source 644 via the shower head 620, respectively. Is gradually introduced into the processing chamber 611 (ramp up), and the inside of the processing chamber 611 is preheated by the heater 615.

この予備加熱を,例えば15秒間行った後,Arガスの供給を停止し,排気装置648により処理室611内を急激に真空排気して引き切り状態とし,ゲートバルブGを開にして真空状態の第1共通搬送室102から搬入出口652を介してSiウエハWを処理室611内へ搬入して,サセプタ612上にSiウエハWを載置する。   For example, after the preliminary heating is performed for 15 seconds, the supply of Ar gas is stopped, the inside of the processing chamber 611 is suddenly evacuated by the exhausting device 648 to be in a drawing state, the gate valve G is opened, and the vacuum state is set. The Si wafer W is loaded into the processing chamber 611 from the first common transfer chamber 102 via the loading / unloading port 652, and the Si wafer W is placed on the susceptor 612.

次いで,第1のArガス供給源633,第2のArガス供給源637,Hガス供給源632からそれぞれシャワーヘッド620を介してArガス,Hガスを,処理室611内が所定の圧力になるまで徐々に流量を増加させて導入し(ランプアップ),処理室611内のガス圧が徐々に上昇するようにしてSiウエハWの反りを抑制する。これらガスの最終的な好ましい流量範囲は,例えばArガス:0.3〜3L/min,Hガス:1.5〜6L/minである。この状態で所定時間保持して,ウエハWに対して予備加熱を行う。この予備加熱は,例えば14秒間実施される。また,この際の圧力は,好ましくは260〜1333Pa,例えば667Paである。 Then, a first Ar gas supply source 633, a second Ar gas supply source 637, H 2 Ar gas respectively from the gas supply source 632 through the showerhead 620, the H 2 gas, the pressure inside of the predetermined processing chamber 611 Then, the flow rate is gradually increased until it reaches (ramp up), and the gas pressure in the processing chamber 611 is gradually increased to suppress warping of the Si wafer W. The final preferable flow rate ranges of these gases are, for example, Ar gas: 0.3 to 3 L / min, H 2 gas: 1.5 to 6 L / min. In this state, the wafer W is held for a predetermined time, and the wafer W is preheated. This preheating is performed for 14 seconds, for example. The pressure at this time is preferably 260 to 1333 Pa, for example, 667 Pa.

ウエハWに対する予備加熱の終了後,第1のArガス供給源633,第2のArガス供給源636,Hガス供給源634から供給されるArガス,Hガスの流量を維持したまま,TiClガスを好ましくは0.001〜0.02L/minの流量でプリフローを行う。このプリフローは,例えば15秒間実施される。 After the preheating of the wafer W is completed, the flow rates of Ar gas and H 2 gas supplied from the first Ar gas supply source 633, the second Ar gas supply source 636, and the H 2 gas supply source 634 are maintained. Preflow of TiCl 4 gas is preferably performed at a flow rate of 0.001 to 0.02 L / min. This preflow is performed for 15 seconds, for example.

次に,成膜に先立って高周波電源644からシャワーヘッド620に高周波電力を印加して,処理室611内にプラズマを生成する(プリプラズマ)。この際の高周波電源644のパワーは,好ましくは300〜2000W,例えば800Wである。   Next, prior to film formation, high-frequency power is applied from the high-frequency power source 644 to the shower head 620 to generate plasma in the processing chamber 611 (pre-plasma). At this time, the power of the high-frequency power source 644 is preferably 300 to 2000 W, for example, 800 W.

そして,ガス流量,圧力,高周波電力を同じに保ったまま,TiClガスを処理室611側に切り換え,Arガス,Nガス,TiClガスのプラズマを生成することにより,所定の厚さのTi膜が成膜される。Ti膜を成膜する際のSiウエハWの加熱温度は,上述したように,Ti膜とその下地であるSi表面との間で珪化反応が起こらない範囲,例えば580℃以下に設定することがこのましい。こうして,珪化反応が抑制されつつ,Si表面上にTi膜の薄膜が成膜される。 Then, while maintaining the same gas flow rate, pressure, and high-frequency power, the TiCl 4 gas is switched to the processing chamber 611 side, and plasma of Ar gas, N 2 gas, and TiCl 4 gas is generated to obtain a predetermined thickness. A Ti film is formed. As described above, the heating temperature of the Si wafer W when the Ti film is formed may be set within a range where no silicification reaction occurs between the Ti film and the underlying Si surface, for example, 580 ° C. or less. This is true. Thus, a thin Ti film is formed on the Si surface while silicidation reaction is suppressed.

このようなTi膜の成膜後,TiClガスの供給および高周波電源644からシャワーヘッド620への給電を停止し,他のガスであるArガスとHガスを流したまま成膜後処理を行う。この成膜後処理は,例えば2秒間実施される。その後,Hガスの流量を低下させ,Arガス流量を維持して,処理室611内のパージを,例えば4秒間行う。 After the Ti film is formed, the supply of TiCl 4 gas and the power supply from the high-frequency power source 644 to the shower head 620 are stopped, and the post-deposition processing is performed while Ar gas and H 2 gas as other gases are supplied. Do. This post-deposition treatment is performed for 2 seconds, for example. Thereafter, the flow rate of H 2 gas is reduced, the Ar gas flow rate is maintained, and the processing chamber 611 is purged for 4 seconds, for example.

その後,同一処理室内で連続して,成膜したTi膜の表面を窒化させる窒化処理を行う。このような窒化処理を行うのは,Ti膜の表面を窒化することにより,次のTiN成膜時のTi膜のエッチングを防止して,Ti膜の部分での膜剥がれを生じ難くするためである。   Thereafter, a nitriding process is performed in which the surface of the formed Ti film is nitrided continuously in the same processing chamber. The nitriding process is performed in order to prevent the Ti film from being peeled off at the Ti film portion by nitriding the surface of the Ti film to prevent the etching of the Ti film during the next TiN film formation. is there.

このような窒化処理としては,例えばArガスとHガスの流量を維持したまま,NHガスを好ましくは0・5〜3L/min,例えば1.5L/minの流量で所定時間流し,その後,ガスの供給を維持したまま高周波電源644からシャワーヘッド620に高周波電力を供給して,これらのガスのプラズマにより実施される。所定時間経過後,高周波電源644からシャワーヘッド620への給電を中止し,ガス流量および真空度を徐々に減じて,Ti成膜処理を終了する。その後,SiウエハWはTiシリサイド形成処理室に搬入されて熱処理されることにより,上記Ti膜とSi表面との間で珪化反応が起こり,Si表面上にTiシリサイド膜が形成される。 As such a nitriding treatment, for example, while maintaining the flow rates of Ar gas and H 2 gas, NH 3 gas is preferably flowed at a flow rate of 0.5 to 3 L / min, for example, 1.5 L / min for a predetermined time, and thereafter The high-frequency power is supplied from the high-frequency power source 644 to the shower head 620 while maintaining the supply of gas, and the plasma is generated from these gases. After a predetermined time has elapsed, the power supply from the high frequency power supply 644 to the shower head 620 is stopped, the gas flow rate and the degree of vacuum are gradually reduced, and the Ti film forming process is terminated. Thereafter, the Si wafer W is carried into a Ti silicide formation processing chamber and heat-treated, whereby a silicidation reaction occurs between the Ti film and the Si surface, and a Ti silicide film is formed on the Si surface.

(Tiシリサイド形成処理室)
次に,Tiシリサイド形成処理室の構成例について説明する。Tiシリサイド形成処理室は,PHT処理室と同様の例えば図15に示すような熱処理室500によって構成される。このようなTiシリサイド形成処理室においては,上記Ti膜成膜処理室においてTi膜が成膜されたSiウエハを搬入して,不活性ガスであるNガスを処理室511内に導入した状態でヒータ513によりSiウエハWの温度を,上記温度範囲(Ti膜の珪化反応が起ってTiシリサイドが形成される温度範囲)で設定した温度で加熱する。これにより,Si表面上に,Ti膜が完全に珪化したTiシリサイド膜を形成することができる。
(Ti silicide formation chamber)
Next, a configuration example of the Ti silicide formation processing chamber will be described. The Ti silicide formation processing chamber is configured by a heat treatment chamber 500 as shown in FIG. 15, for example, similar to the PHT processing chamber. In such a Ti silicide formation processing chamber, a Si wafer on which a Ti film is formed in the Ti film formation processing chamber is carried in, and N 2 gas as an inert gas is introduced into the processing chamber 511. Then, the temperature of the Si wafer W is heated by the heater 513 at a temperature set in the above temperature range (temperature range in which the silicidation reaction of the Ti film occurs and Ti silicide is formed). Thereby, a Ti silicide film in which the Ti film is completely silicided can be formed on the Si surface.

なお,上記Ti膜成膜処理とTiシリサイド形成処理を,1つのTiシリサイド膜形成処理室内で,ガスの切り換えおよびプラズマ生成のON/OFF等を行うことにより連続的に実施してもよい。この場合には,効率的な処理が可能となり,またTiシリサイド形成処理室は不要となる。   The Ti film forming process and the Ti silicide forming process may be continuously performed by switching gases and turning on / off plasma generation in one Ti silicide film forming process chamber. In this case, efficient processing is possible, and a Ti silicide formation processing chamber is not required.

(TiN膜成膜処理室の構成例)
次に,TiN膜成膜処理室の構成例について図面を参照しながら説明する。TiN膜成膜処理室は,プラズマCVDによりTiN膜を成膜する,例えば図17に示すようなプラズマCVD処理室700によって構成される。このプラズマCVD処理室700は,プラズマ生成手段およびシャワーヘッドを加熱する手段が存在せず,ガス供給機構のガス系が多少異なる以外は,図16に示すプラズマCVD処理室600とほぼ同様の構成であるため,ガス供給機構以外の構成要素は,図16と同一符号を付すことにより重複説明を省略する。
(Configuration example of TiN film deposition chamber)
Next, a configuration example of the TiN film deposition processing chamber will be described with reference to the drawings. The TiN film deposition chamber is configured by a plasma CVD chamber 700 as shown in FIG. 17, for example, in which a TiN film is deposited by plasma CVD. The plasma CVD processing chamber 700 has substantially the same configuration as the plasma CVD processing chamber 600 shown in FIG. 16 except that there is no means for heating the plasma generating means and the shower head, and the gas system of the gas supply mechanism is slightly different. Therefore, the components other than the gas supply mechanism are denoted by the same reference numerals as those in FIG.

ガス供給機構730は,クリーニングガスであるCIFガスを供給するCIFガス供給源731,Ti化合物ガスであるTiClガスを供給するTiClガス供給源732,Nガスを供給する第1のNガス供給源733,窒化ガスであるNHガスを供給するNHガス供給源734,Nガスを供給する第2のNガス供給源735を備える。 Gas supply mechanism 730 is CIF 3 gas supplied CIF 3 gas supply source 731, Ti supplying compound TiCl 4 gas is a gas TiCl 4 gas supply source 732, N 2 gas first supplying a cleaning gas N 2 gas supply source 733, and a second N 2 gas supply source 735 for supplying the NH 3 gas supply source 734, N 2 gas supplied NH 3 gas is a gas nitriding.

そして,CIFガス供給源731にはClFガス供給ライン736が接続されており,TiClガス供給源732にはTiClガス供給ライン737が接続されている。また,第1のNガス供給源733には第1のNガス供給ライン738が接続されており,NHガス供給源734にはNHガス供給ライン739が接続されている。さらに第2のNガス供給源735には第2のNガス供給ライン740が接続されている。 The CIF 3 gas supply source 731 is connected to a ClF 3 gas supply line 736, and the TiCl 4 gas supply source 732 is connected to a TiCl 4 gas supply line 737. A first N 2 gas supply line 738 is connected to the first N 2 gas supply source 733, and an NH 3 gas supply line 739 is connected to the NH 3 gas supply source 734. Further, a second N 2 gas supply line 740 is connected to the second N 2 gas supply source 735.

また,図示しないがArガス供給源も有している。そして,各ガス供給ラインにはマスフローコントローラ742およびマスフローコントローラ742を挟んで2つのバルブ741が設けられている。   Although not shown, it also has an Ar gas supply source. Each gas supply line is provided with two valves 741 sandwiching the mass flow controller 742 and the mass flow controller 742.

シャワーヘッド620の第1のガス導入口621にはTiClガス供給源732から延びるTiClガス供給ライン737が接続されており,このTiClガス供給ライン737にはCIFガス供給源731から延びるCIFガス供給ライン736および第1のNガス供給源733から延びる第1のNガス供給ライン738が接続されている。 A TiCl 4 gas supply line 737 extending from a TiCl 4 gas supply source 732 is connected to the first gas introduction port 621 of the shower head 620, and this TiCl 4 gas supply line 737 extends from the CIF 3 gas supply source 731. A CIF 3 gas supply line 736 and a first N 2 gas supply line 738 extending from the first N 2 gas supply source 733 are connected.

また,第2のガス導入口622にはNHガス供給源734から延びるNHガス供給ライン739が接続されており,このNHガス供給ライン739には,第2のNガス供給源735から延びる第2のNガス供給ライン740が接続されている。 In addition, an NH 3 gas supply line 739 extending from the NH 3 gas supply source 734 is connected to the second gas introduction port 622, and the second N 2 gas supply source 735 is connected to the NH 3 gas supply line 739. A second N 2 gas supply line 740 extending from is connected.

したがって,プロセス時には,TiClガス供給源732からのTiClガスが第1のNガス供給源733からのNガスとともにTiClガス供給ライン737を介してシャワーヘッド620の第1のガス導入口621からシャワーヘッド620内に至り,ガス通路623,625を経て吐出孔627から処理室611内へ吐出される。 Therefore, when the process, TiCl 4 gas is the first gas introduction in the first N 2 with N 2 gas from the gas supply source 733 through the TiCl 4 gas supply line 737 showerhead 620 from the TiCl 4 gas supply source 732 From the port 621, it reaches into the shower head 620, and is discharged from the discharge hole 627 into the processing chamber 611 through the gas passages 623 and 625.

一方,NHガス供給源734からの窒化ガスであるNHガスが第2のNガス供給源735からのNガスとともにNHガス供給ライン739を介してシャワーヘッド620の第2のガス導入口622からシャワーヘッド620内に至り,ガス通路624,626を経て吐出孔628から処理室611内へ吐出される。 On the other hand, the second gas shower head 620 through the NH 3 gas supply line 739 NH 3 gas is a nitriding gas from the NH 3 gas supply source 734 with N 2 gas from the second N 2 gas supply source 735 From the inlet 622 to the shower head 620, the gas is discharged from the discharge hole 628 into the processing chamber 611 through the gas passages 624 and 626.

このように構成される処理室611において,TiN膜成膜を行う際には,まず,処理室611内を排気装置648により引き切り状態とし,第1および第2のNガス供給源733および735からNガスをシャワーヘッド620を介して処理室611内に導入しつつ,ヒータ615により処理室611内を予備加熱する。 When the TiN film is formed in the processing chamber 611 configured as described above, first, the inside of the processing chamber 611 is pulled out by the exhaust device 648, and the first and second N 2 gas supply sources 733 and The inside of the processing chamber 611 is preheated by the heater 615 while N 2 gas is introduced into the processing chamber 611 through the shower head 620 from 735.

温度が安定した時点で,第1のNガス供給源733,NHガス供給源734およびTiClガス供給源732からそれぞれNガス,NHガスおよびTiClガスをシャワーヘッド620を介して所定流量で導入し,処理室内圧力を所定値に維持しつつプリフローを行う。 When the temperature is stabilized, N 2 gas, NH 3 gas, and TiCl 4 gas are respectively supplied from the first N 2 gas supply source 733, the NH 3 gas supply source 734, and the TiCl 4 gas supply source 732 through the shower head 620. Introduced at a predetermined flow rate, preflow is performed while maintaining the processing chamber pressure at a predetermined value.

そして,ガス流量および圧力を同じに保ったまま,ヒータ615による加熱により処理室611の内壁,排気室646の内壁およびシャワーヘッド620等の処理室内部付表面にTiN膜をプリコートする。これにより,SiウエハW上にTiN膜を成膜する際に,SiウエハWの温度変化を略一定にすることができる。   Then, a TiN film is precoated on the inner wall of the processing chamber 611, the inner wall of the exhaust chamber 646, and the surface with the processing chamber inside such as the shower head 620 by heating with the heater 615 while maintaining the same gas flow rate and pressure. Thereby, when the TiN film is formed on the Si wafer W, the temperature change of the Si wafer W can be made substantially constant.

このようなプリコート処理が終了後,NHガスおよびTiClガスを停止し,第1のNガス供給源733および第2のNガス供給源735からNガスをパージガスとして処理室611内に供給して処理室611内のパージを行い,その後,必要に応じてNガスおよびNHガスを流し,成膜したTiN薄膜の表面の窒化処理を行う。これにより,TiN膜が脱Clされ,膜中の残留塩素を低減することができ,膜を安定化させることができる。 After such pre-coating process is finished, the NH 3 gas and TiCl 4 gas are stopped, and the N 2 gas is purged from the first N 2 gas supply source 733 and the second N 2 gas supply source 735 in the processing chamber 611. Then, the inside of the processing chamber 611 is purged, and then N 2 gas and NH 3 gas are flowed as necessary to perform nitriding treatment on the surface of the formed TiN thin film. Thereby, the TiN film is de-Cl, residual chlorine in the film can be reduced, and the film can be stabilized.

その後,排気装置648により処理室611内を急激に真空排気して引き切り状態とし,ゲートバルブGを開にして,真空状態の第1共通搬送室102から第1搬送装置118により搬入出口652を介してウエハWを処理室611内へ搬入し,サセプタ612上にSiウエハWを配置する。   Thereafter, the inside of the processing chamber 611 is abruptly evacuated by the exhaust device 648 to bring it into a cut-off state. Then, the wafer W is carried into the processing chamber 611 and the Si wafer W is placed on the susceptor 612.

そして,第1のNガス供給源733,第2のNガス供給源735,NHガス供給源734からシャワーヘッド620を介してNガスおよびNHガスを,処理室611内が所定の圧力になるまで徐々に上昇するように導入する。これらガスの最終的な流量は,第1のNガス供給源733および第2のNガス供給源735からのNガスが,好ましくはそれぞれ0・05〜3L/min,NHガスが好ましくは0.005〜0.3L/minであり,処理室内圧力は40〜670Pa程度である。この状態で所定時間保持して,ウエハWを例えば300〜500℃で予備加熱する。この予備加熱は,例えば30秒間実施される。この場合,NHガス流量をNガスよりも低い分圧で加熱するので,例えば下地膜が酸化されている場合等は,インキュベーションに効果がある。 Then, the first N 2 gas supply source 733, the N 2 gas and NH 3 gas through the showerhead 620 from the second N 2 gas supply source 735, an NH 3 gas supply source 734, the processing chamber 611 is a predetermined Introduced to gradually increase until the pressure becomes. The final flow rate of these gases, N 2 gas from the first N 2 gas supply source 733 and the second N 2 gas supply source 735, preferably each 0 · 05~3L / min, NH 3 gas The pressure is preferably 0.005 to 0.3 L / min, and the processing chamber pressure is about 40 to 670 Pa. In this state, the wafer W is held for a predetermined time, and the wafer W is preheated at 300 to 500 ° C., for example. This preheating is performed for 30 seconds, for example. In this case, since the NH 3 gas flow rate is heated at a partial pressure lower than that of N 2 gas, for example, when the base film is oxidized, the incubation is effective.

SiウエハWに対する予備加熱の終了後,第1のNガス供給源733および第2のNガス供給源735から供給されるNガスの流量を維持したまま,TiClガス供給源732からTiClガスを好ましくは0.01〜0.08L/minの流量でプリフローを行う。このプリフローは,例えば15秒間実施される。 After completion of the preliminary heating of the Si the wafer W, while maintaining the flow rate of N 2 gas supplied from the first N 2 gas supply source 733 and the second N 2 gas supply source 735, a TiCl 4 gas supply source 732 Preflow of TiCl 4 gas is preferably performed at a flow rate of 0.01 to 0.08 L / min. This preflow is performed for 15 seconds, for example.

そして,第1のNガス供給源733および第2のNガス供給源735からパージガスとしてのNガスを処理室611内に導入して処理室611内のパージを例えば6秒間行う。この際の第1のNガス供給源733および第2のNガス供給源735からのNガス流量は,例えばそれぞれ1L/mhである。一方,処理室611内のパージとともに,NHガスの流量を好ましくは0.01〜0.08L/minとしてプリフローを行う。 Then, a first N 2 gas supply source 733 and the second N 2 purge N in 2 gas is introduced into the processing chamber 611 the processing chamber 611 as a purge gas from the gas supply source 735, for example, 6 seconds. At this time, the N 2 gas flow rates from the first N 2 gas supply source 733 and the second N 2 gas supply source 735 are each 1 L / mh, for example. On the other hand, with the purge in the processing chamber 611, the pre-flow is performed with the flow rate of the NH 3 gas being preferably 0.01 to 0.08 L / min.

その後,Nガスの流量を例えば0.17L/minに減じ,ガス流量が安定した時点で,TiN膜の成膜を開始する。まず,TiClガス,NHガスを,第1のNガス供給源733および第2のNガス供給源735からのNガスにキャリアさせて処理室611内に供給する。この際に,SiウエハWはヒータ615により加熱されているから,熱CVDによりTiN膜が成膜される(第1ステップ)。この第1ステップは,例えば16秒間実施される。 Thereafter, the flow rate of the N 2 gas is reduced to, for example, 0.17 L / min, and when the gas flow rate is stabilized, the TiN film formation is started. First, supplies TiCl 4 gas, NH 3 gas, the first N 2 gas supply source 733 and the second N 2 gas supply source 735 by the carrier to the N 2 gas from the processing chamber 611. At this time, since the Si wafer W is heated by the heater 615, a TiN film is formed by thermal CVD (first step). This first step is performed, for example, for 16 seconds.

その後,TiClガスおよびNHガスを停止し,第1のNガス供給源733および第2のNガス供給源735からのNガスの流量を,例えばそれぞれ1L/minに増加して,パージガスとして処理室611内に導入し,処理室611内のパージを行う。その後,NHガスを第2のNガス供給源735からのNガスにキャリアさせて処理室611内に導入し,NガスおよびNHガスによるTiN膜のアニールおよび窒化処理である第2ステップを行う。この第2ステップは,例えば5秒間実施される。 Thereafter, the TiCl 4 gas and the NH 3 gas are stopped, and the flow rates of the N 2 gas from the first N 2 gas supply source 733 and the second N 2 gas supply source 735 are each increased to, for example, 1 L / min. The purge gas is introduced into the processing chamber 611 and the processing chamber 611 is purged. After that, NH 3 gas is carried by N 2 gas from the second N 2 gas supply source 735 and introduced into the processing chamber 611, and the TiN film is annealed and nitrided by N 2 gas and NH 3 gas. Perform two steps. This second step is performed for 5 seconds, for example.

以上のTiClガスのプリフローから第2ステップまでを1サイクルとして複数サイクル,好ましくは3サイクル以上,例えば12〜24回程度繰り返す。このときのガスの切換は,バルブを切換えることにより行われる。このようにして,所定の厚さのTiN膜が成膜される。TiN膜を成膜する際のSiウエハWの加熱温度は,300〜500℃が好ましく,例えば450℃程度である。 The above-described TiCl 4 gas preflow to the second step are repeated as a single cycle for a plurality of cycles, preferably 3 cycles or more, for example, about 12 to 24 times. At this time, the gas is switched by switching the valve. In this way, a TiN film having a predetermined thickness is formed. The heating temperature of the Si wafer W when forming the TiN film is preferably 300 to 500 ° C., for example, about 450 ° C.

上記第1ステップおよび第2ステップを交互に繰り返す交互的なガスフローによりTiN膜を成膜することにより,第1ステップで成膜されたTiN膜が第2ステップのアニールにより効率的に脱Clされ,膜中の残留塩素を著しく低くすることができ,低温成膜であっても残留塩素が少なく比抵抗の小さい良質のTiN膜を成膜することができる。   By forming the TiN film by the alternating gas flow in which the first step and the second step are alternately repeated, the TiN film formed in the first step is efficiently deClerated by the second step annealing. The residual chlorine in the film can be remarkably reduced, and a high-quality TiN film with little residual chlorine and low specific resistance can be formed even at low temperature.

これにより,TiN膜のクラックの発生を抑制することができ,Ti膜との密着性が向上し,その結果,TiN膜の膜剥がれを有効に防止することができる。また,TiN膜の膜厚を3〜50nm,好ましくは5〜20nmとすることで,コンタクト抵抗が低くかつバリア性にも優れたTiN膜を得ることができる。   Thereby, generation | occurrence | production of the crack of a TiN film | membrane can be suppressed, adhesiveness with Ti film | membrane improves, As a result, film | membrane peeling of a TiN film | membrane can be prevented effectively. Further, by setting the thickness of the TiN film to 3 to 50 nm, preferably 5 to 20 nm, it is possible to obtain a TiN film having low contact resistance and excellent barrier properties.

(ウエハ搬送処理の具体例) (Specific example of wafer transfer processing)

ここで,図13に示すように構成された基板処理装置100のウエハ搬送処理について説明する。図13では,第2共通搬送室120内ではSiウエハWは処理室104E,104Fの順に処理されてパス部122に収容される。そして,第1共通搬送室102内では,SiウエハWはパス部122から処理室104A,104C,104Bの順に搬送されて処理される。このため,SiウエハWの搬送経路は図13に示す実線矢印のようになる。   Here, the wafer transfer process of the substrate processing apparatus 100 configured as shown in FIG. 13 will be described. In FIG. 13, in the second common transfer chamber 120, the Si wafer W is processed in the order of the processing chambers 104 </ b> E and 104 </ b> F and is stored in the pass unit 122. In the first common transfer chamber 102, the Si wafer W is transferred from the pass section 122 to the process chambers 104A, 104C, and 104B in order and processed. For this reason, the transfer path of the Si wafer W is as shown by a solid line arrow shown in FIG.

このようなウエハ搬送処理は,制御部200のEC(装置制御部)300に設けられる後述のプログラムデータ記憶手段360に記憶された搬送処理プログラム362に基づいて実行される。すなわち,EC300のCPU310は処理データ記憶手段370に記憶される搬送処理情報(例えば搬送経路情報)372から必要な情報を読み出して搬送処理プログラム362を実行することによって,SiウエハWの搬送処理を実行する。   Such wafer transfer processing is executed based on a transfer processing program 362 stored in a program data storage unit 360 (described later) provided in an EC (apparatus control unit) 300 of the control unit 200. That is, the CPU 310 of the EC 300 executes the transfer process of the Si wafer W by reading necessary information from the transfer process information (for example, transfer path information) 372 stored in the process data storage unit 370 and executing the transfer process program 362. To do.

ここでは一例として中央の導入ポート112Bに設置したカセット(キャリアも含む)から例えばコンタクトホールが形成された,処理前のSiウエハWが取り出されるものとし,また2つのロードロック室108A,108Bのうちのいずれか一方のロードロック室,例えばロードロック室108Aを,処理前のSiウエハWの搬入用に用い,他方のロードロック室108Bを処理済のSiウエハWの搬出用に用いる。今,各処理室104A〜104C,104E,104F内にはそれぞれウエハWが収容されてそれぞれの処理が終了しているか,又はほぼ終了しかけているものとする。   Here, as an example, it is assumed that the Si wafer W before processing, in which, for example, a contact hole is formed, is taken out from a cassette (including a carrier) installed in the central introduction port 112B, and of the two load lock chambers 108A and 108B. One of the load lock chambers, for example, the load lock chamber 108A, is used for carrying in the Si wafer W before processing, and the other load lock chamber 108B is used for carrying out the processed Si wafer W. Now, it is assumed that the wafers W are accommodated in the processing chambers 104A to 104C, 104E, and 104F, and the respective processes are completed or almost finished.

先ず,搬入側搬送室110内の搬送処理について説明する。ロードロック室108B内には,処理室104Dでの処理が終了した処理済のSiウエハWが収容されているものとすると,この処理済のSiウエハWは,搬入側搬送機構116により搬送経路X11に示すように中央の導入ポート112Bへ搬送して収容される。   First, the transfer process in the carry-in transfer chamber 110 will be described. Assuming that the processed Si wafer W that has been processed in the processing chamber 104D is accommodated in the load lock chamber 108B, the processed Si wafer W is transferred to the transfer path X11 by the loading-side transfer mechanism 116. As shown in FIG. 2, the sheet is conveyed to the central introduction port 112B and accommodated.

また,中央の導入ポート112Bに収容されている処理前のSiウエハWは,搬入側搬送機構116により搬送経路X12に示すようにオリエンタ114へ搬送され,ここでSiウエハWの位置合わせをした後に,再度搬入側搬送機構116により搬送経路X13に示すように位置合わせ後のSiウエハWを他方のロードロック室108A内へ収容し,待機させておく。以上の操作が,SiウエハWの処理が進む毎に繰り返し行われる。   The unprocessed Si wafer W accommodated in the central introduction port 112B is transferred to the orienter 114 as shown by the transfer path X12 by the transfer-side transfer mechanism 116, and after the Si wafer W is aligned here, Then, the Si wafer W after the alignment is accommodated in the other load lock chamber 108A as shown in the conveyance path X13 by the carry-in side conveyance mechanism 116, and is kept waiting. The above operation is repeated every time the processing of the Si wafer W proceeds.

次に,第2共通搬送室120内のウエハWの搬送処理について説明する。先ず第2搬送機構124によりパス部122に収容されている処理室104Bにて処理済のSiウエハWを取りに行き,搬送経路Z11に示すようにこれを空き状態のロードロック室108B内に置く。   Next, the transfer process of the wafer W in the second common transfer chamber 120 will be described. First, the processed Si wafer W is picked up in the processing chamber 104B accommodated in the pass section 122 by the second transfer mechanism 124, and placed in the empty load lock chamber 108B as indicated by the transfer path Z11. .

次いで,第2搬送機構124により処理室104Fにて処理済のウエハWを取りに行き,搬送経路Z12に示すようにこれを空き状態のパス部122内に置く。続いて,第2搬送機構124により処理室104Eにて処理済のウエハWを取りに行き,搬送経路Z13に示すようにこれを空き状態の処理室104F内へ搬入して置き,処理室104F内での処理を開始する。   Next, the processed wafer W is picked up in the processing chamber 104F by the second transfer mechanism 124, and placed in the empty path section 122 as indicated by the transfer path Z12. Subsequently, the wafer W that has been processed in the processing chamber 104E is picked up by the second transfer mechanism 124, and is loaded into the empty processing chamber 104F and placed in the processing chamber 104F as indicated by the transfer path Z13. Start processing with.

次いで,ロードロック室108A内で待機していた処理前のSiウエハWを第2搬送機構124によって取りに行き,搬送経路Z14に示すようにこれを上記空き状態の処理室104E内へ搬入して置き,この処理室104E内での処理を開始する。   Next, the unprocessed Si wafer W waiting in the load lock chamber 108A is picked up by the second transfer mechanism 124, and is loaded into the empty process chamber 104E as indicated by the transfer path Z14. Then, processing in the processing chamber 104E is started.

次に,第1共通搬送室102内のSiウエハWの搬送処理について説明する。先ず第1搬送機構118により処理室104Bに収容されている処理済のSiウエハWを取りに行き,搬送経路Y11に示すようにこれを空き状態のパス部122に置く。   Next, the transfer process of the Si wafer W in the first common transfer chamber 102 will be described. First, the processed Si wafer W accommodated in the processing chamber 104B is picked up by the first transfer mechanism 118, and placed in the empty path section 122 as indicated by the transfer path Y11.

次いで,第1搬送機構118により処理室104C内に収容されている処理済のSiウエハWを取りに行き,搬送経路Y12に示すようにこれを空き状態の処理室104B内へ搬入して置き,処理室104B内での処理を開始する。続いて,第1搬送機構118により処理室104A内に収容されている処理済のSiウエハWを取りに行き,搬送経路Y13に示すようにこれを空き状態の処理室104C内へ搬入して置き,処理室104C内での処理を開始する。   Next, the processed Si wafer W accommodated in the processing chamber 104C is taken by the first transfer mechanism 118, and is loaded into the empty processing chamber 104B as shown in the transfer path Y12. Processing in the processing chamber 104B is started. Subsequently, the processed Si wafer W accommodated in the processing chamber 104A is picked up by the first transfer mechanism 118, and is loaded into the empty processing chamber 104C as shown by the transfer path Y13. , Processing in the processing chamber 104C is started.

次に,第2共通搬送室120からパス部122内に搬送されたSiウエハWを第1搬送機構118によって取りに行き,搬送経路Y14に示すようにこれを上記空き状態の処理室104A内へ搬入して置き,この処理室104A内での処理を開始する。   Next, the Si wafer W transferred from the second common transfer chamber 120 into the pass unit 122 is picked up by the first transfer mechanism 118, and this is transferred into the empty processing chamber 104A as indicated by the transfer path Y14. Carry it in and start processing in the processing chamber 104A.

なお,SiウエハWの搬出入の際には,各ゲートバルブ106A〜106C,106E,106F,107A,107B,126のうち,SiウエハWの搬出入に必要なゲートバルブを開閉操作する。そして,各処理室104E,104F,104A,104C,104Bにて処理が行われ,SiウエハWの処理が完了する毎に上記した操作が繰り返し行われることになる。こうして,コンタクトホールが形成された処理前のSiウエハWに対してCOR処理,PHT処理,Ti膜成膜処理,Tiシリサイド形成処理,TiN膜成膜処理が連続して施される。   When the Si wafer W is loaded / unloaded, among the gate valves 106A to 106C, 106E, 106F, 107A, 107B, 126, the gate valve necessary for loading / unloading the Si wafer W is opened / closed. Then, processing is performed in each of the processing chambers 104E, 104F, 104A, 104C, and 104B, and the above-described operation is repeatedly performed every time the processing of the Si wafer W is completed. Thus, the COR process, the PHT process, the Ti film forming process, the Ti silicide forming process, and the TiN film forming process are successively performed on the unprocessed Si wafer W in which the contact hole is formed.

これにより,SiウエハWのSi表面上には,下地(Si)との界面が非常にフラットで均一なTiシリサイドを形成することができる。また,膜の密着性,強度が向上するのみならず,SiウエハWの下地(Si)にプラズマ起因のチャージアップダメージを負わせることを防止することができるので,ダメージのない配線加工を行うことができ,良好なコンタクト抵抗を有する膜を成膜することができる。   Thereby, on the Si surface of the Si wafer W, Ti silicide having a very flat interface with the base (Si) can be formed. In addition to improving the adhesion and strength of the film, it is possible to prevent the base (Si) of the Si wafer W from being charged with plasma-induced charge-up damage. Thus, a film having good contact resistance can be formed.

なお,上記各処理室104A〜104Fの構成は図13に示すものに限られるものではない。例えば各処理室104A〜104Fのうちのどの処理室をCOR処理室,PHT処理室,Ti膜成膜処理室,Tiシリサイド形成処理室,TiN膜成膜処理室として構成してもよい。従って,Siウエハの搬送順序も,各処理室104A〜104FのうちのCOR処理室,PHT処理室,Ti膜成膜処理室,Tiシリサイド形成処理室,TiN膜成膜処理室の順に搬送すれば,必ずしも各処理室104A〜104Fの順でなくてもよい。   The configuration of each of the processing chambers 104A to 104F is not limited to that shown in FIG. For example, any of the processing chambers 104A to 104F may be configured as a COR processing chamber, a PHT processing chamber, a Ti film formation processing chamber, a Ti silicide formation processing chamber, and a TiN film formation processing chamber. Accordingly, the transfer order of the Si wafers is as follows: the COR processing chamber, the PHT processing chamber, the Ti film deposition processing chamber, the Ti silicide formation processing chamber, and the TiN film deposition processing chamber among the processing chambers 104A to 104F. , The order of the processing chambers 104A to 104F is not necessarily required.

また,第1実施形態ではTiシリサイド形成処理室を1つ設けた場合について説明したが,これに限定されるものではなく,Tiシリサイド形成処理室をプロセス温度に応じて複数設けるようにしてもよい。例えばプロセス温度を590℃〜610℃の温度範囲で設定して熱処理を行って,準安定なシリサイド相であるC49相のTiシリサイド膜を形成するためのC49相Tiシリサイド形成処理室(準安定シリサイド相形成処理室)と,例えばプロセス温度を640℃〜650℃の温度範囲として熱処理を行って,安定なシリサイド相であるC54相のTiシリサイド膜を形成するためのC54相Tiシリサイド形成処理室(安定シリサイド相形成処理室)の2つにより構成してもよい。   In the first embodiment, the case where one Ti silicide formation processing chamber is provided has been described. However, the present invention is not limited to this, and a plurality of Ti silicide formation processing chambers may be provided according to the process temperature. . For example, a C49 phase Ti silicide formation processing chamber (metastable silicide layer) for forming a C49 phase Ti silicide film which is a metastable silicide phase by performing a heat treatment by setting the process temperature in a temperature range of 590 ° C. to 610 ° C. Phase formation processing chamber) and a C54 phase Ti silicide formation processing chamber for forming a C54 phase Ti silicide film which is a stable silicide phase by performing heat treatment, for example, at a process temperature range of 640 ° C. to 650 ° C. It may be constituted by two of a stable silicide phase formation processing chamber.

この場合,例えば図18に示すように,基板処理装置100の処理室104CをC49相Tiシリサイド形成処理室で構成し,処理室104DをC54相Tiシリサイド形成処理室で構成するようにしてもよい。図18に示す構成の基板処理装置100において,例えば上述した2段階アニール処理を行う場合には,図18に示すような搬送経路によって搬送処理を行う。図18に示す搬入側搬送室110における搬送経路X21〜X23,第2共通搬送室120における搬送経路Z21〜Z24は,図13に示す搬入側搬送室110における搬送経路X11〜X23,第2共通搬送室120における搬送経路Z11〜Z14と同様であるため,第1共通搬送室102内のSiウエハWの搬送処理について説明する。   In this case, for example, as shown in FIG. 18, the processing chamber 104C of the substrate processing apparatus 100 may be configured as a C49 phase Ti silicide formation processing chamber, and the processing chamber 104D may be configured as a C54 phase Ti silicide formation processing chamber. . In the substrate processing apparatus 100 having the configuration shown in FIG. 18, for example, when the above-described two-stage annealing process is performed, the transfer process is performed along the transfer path as shown in FIG. The transfer routes X21 to X23 in the carry-in side transfer chamber 110 shown in FIG. 18 and the transfer routes Z21 to Z24 in the second common transfer chamber 120 are the transfer routes X11 to X23 and the second common transfer in the carry-in transfer chamber 110 shown in FIG. Since this is the same as the transfer paths Z11 to Z14 in the chamber 120, the transfer process of the Si wafer W in the first common transfer chamber 102 will be described.

先ず第1搬送機構118により処理室104Bに収容されている処理済のSiウエハWを取りに行き,搬送経路Y21に示すようにこれを空き状態のパス部122に置く。   First, the processed Si wafer W accommodated in the processing chamber 104B is picked up by the first transfer mechanism 118, and is placed in the empty path section 122 as indicated by the transfer path Y21.

次いで,第1搬送機構118により処理室104D内に収容されている処理済のSiウエハWを取りに行き,搬送経路Y22に示すようにこれを空き状態の処理室104B内へ搬入して置き,処理室104B内での処理を開始する。   Next, the processed Si wafer W accommodated in the processing chamber 104D is taken by the first transfer mechanism 118, and is loaded into the empty processing chamber 104B as shown in the transfer path Y22. Processing in the processing chamber 104B is started.

次いで,第1搬送機構118により処理室104C内に収容されている処理済のSiウエハWを取りに行き,搬送経路Y23に示すようにこれを空き状態の処理室104D内へ搬入して置き,処理室104D内での処理を開始する。続いて,第1搬送機構118により処理室104A内に収容されている処理済のSiウエハWを取りに行き,搬送経路Y24に示すようにこれを空き状態の処理室104C内へ搬入して置き,処理室104C内での処理を開始する。   Next, the processed Si wafer W accommodated in the processing chamber 104C is taken by the first transfer mechanism 118, and is loaded into the empty processing chamber 104D as shown in the transfer path Y23. Processing in the processing chamber 104D is started. Subsequently, the processed Si wafer W accommodated in the processing chamber 104A is taken out by the first transfer mechanism 118, and is loaded into the empty processing chamber 104C as shown in the transfer path Y24. , Processing in the processing chamber 104C is started.

次に,第2共通搬送室120からパス部122内に搬送されたSiウエハWを第1搬送機構118によって取りに行き,搬送経路Y25に示すようにこれを上記空き状態の処理室104A内へ搬入して置き,この処理室104A内での処理を開始する。   Next, the Si wafer W transferred from the second common transfer chamber 120 into the pass unit 122 is picked up by the first transfer mechanism 118, and is transferred into the empty processing chamber 104A as indicated by a transfer path Y25. Carry it in and start processing in the processing chamber 104A.

なお,SiウエハWの搬出入の際には,各ゲートバルブ106A〜106F,107A,107B,126のうち,SiウエハWの搬出入に必要なゲートバルブを開閉操作する。そして,各処理室104E,104F,104A,104C,104D,104Bにて処理が行われ,SiウエハWの処理が完了する毎に上記した操作が繰り返し行われることになる。こうして,コンタクトホールが形成された処理前のSiウエハWに対してCOR処理,PHT処理,Ti膜成膜処理,C49相Tiシリサイド形成処理,C54相Tiシリサイド形成処理,TiN膜成膜処理が連続して施される。これにより,SiウエハWのSi表面上には,下地(Si)との界面が非常にフラットで均一なC54相Tiシリサイドを形成することができる。   When the Si wafer W is loaded / unloaded, among the gate valves 106A to 106F, 107A, 107B, 126, the gate valve necessary for loading / unloading the Si wafer W is opened / closed. Then, processing is performed in each of the processing chambers 104E, 104F, 104A, 104C, 104D, and 104B, and the above-described operation is repeatedly performed every time the processing of the Si wafer W is completed. Thus, the COR process, the PHT process, the Ti film forming process, the C49 phase Ti silicide forming process, the C54 phase Ti silicide forming process, and the TiN film forming process are continuously performed on the Si wafer W before the processing in which the contact hole is formed. Applied. Thereby, on the Si surface of the Si wafer W, a C54 phase Ti silicide having a very flat and uniform interface with the base (Si) can be formed.

(制御部の構成例)
基板処理装置100の制御部200の構成例を図面を参照しながら説明する。図19は,制御部(システムコントローラ)200の構成を示すブロック図である。図19に示すように,制御部200は,装置制御部(EC:Equipment Controller)300と,複数のモジュール制御部(MC:Module
Controller)230A,230B,230C…と,EC300と各MC230A,230B,230C…とをそれぞれ接続するスイッチングハブ(HUB)220とを備える。
(Configuration example of control unit)
A configuration example of the control unit 200 of the substrate processing apparatus 100 will be described with reference to the drawings. FIG. 19 is a block diagram illustrating a configuration of the control unit (system controller) 200. As shown in FIG. 19, the control unit 200 includes an apparatus control unit (EC) 300 and a plurality of module control units (MC: Module).
Controller) 230A, 230B, 230C..., And a switching hub (HUB) 220 for connecting the EC 300 and each MC 230A, 230B, 230C.

制御部200は,EC300から例えばLAN(Local Area Network)202を介して基板処理装置100が設置される工場全体の製造工程を管理するMES(Manufacturing Execution System)204に接続されている。MES204は例えばコンピュータにより構成される。MES204は,制御部200と連携して工場における工程に関するリアルタイム情報を基幹業務システム(図示しない)にフィードバックするとともに,工場全体の負担等を考慮して工程に関する判断を行う。   The control unit 200 is connected from the EC 300 to a manufacturing execution system (MES) 204 that manages the manufacturing process of the entire factory where the substrate processing apparatus 100 is installed, for example, via a LAN (local area network) 202. The MES 204 is configured by a computer, for example. The MES 204 cooperates with the control unit 200 to feed back real-time information related to the process in the factory to a basic business system (not shown), and makes a determination regarding the process in consideration of the burden of the entire factory.

EC300は,MC230A,230B,230C…を統括して基板処理装置100全体の動作を制御する主制御部(マスタ制御部)を構成する。スイッチングハブ220は,EC300からの制御信号に応じてEC300の接続先としてのMC230A,230B,230C…を切換える。   The EC 300 constitutes a main control unit (master control unit) that controls the overall operation of the substrate processing apparatus 100 by supervising the MCs 230A, 230B, 230C. The switching hub 220 switches MCs 230A, 230B, 230C... As connection destinations of the EC 300 according to a control signal from the EC 300.

各MC230A,230B,230C…はそれぞれ,基板処理装置100の第1共通搬送室102,処理室104A〜104D,ロードロック室108A,108B,搬送室110,オリエンタ114等の各モジュールの動作を制御する副制御部(スレーブ制御部)を構成する。各MC230A,230B,230C…はそれぞれ,DIST(Distribution)ボード234A,234B,234C…によって例えばGHOSTネットワーク206を介して各I/O(入出力)モジュール236A,236B,236C…に接続される。GHOSTネットワーク206は,EC300が有するMCボードに搭載されたGHOST(General High-Speed Optimum Scalable Transceiver)と称されるLSIによって実現されるネットワークである。GHOSTネットワーク206には最大で31個のI/Oモジュールを接続することができる。なお,GHOSTネットワーク206ではMCがマスタに相当し,I/Oモジュールがスレーブに相当する。   Each of the MCs 230A, 230B, 230C,... Controls the operation of each module such as the first common transfer chamber 102, the processing chambers 104A to 104D, the load lock chambers 108A and 108B, the transfer chamber 110, and the orienter 114 of the substrate processing apparatus 100. A sub-control unit (slave control unit) is configured. Each of the MCs 230A, 230B, 230C,... Is connected to each of the I / O (input / output) modules 236A, 236B, 236C, etc. via the GHOST network 206 by DIST (Distribution) boards 234A, 234B, 234C,. The GHOST network 206 is a network realized by an LSI called GHOST (General High-Speed Optimum Scalable Transceiver) mounted on the MC board of the EC 300. A maximum of 31 I / O modules can be connected to the GHOST network 206. In the GHOST network 206, MC corresponds to the master and the I / O module corresponds to the slave.

各I/Oモジュール236A,236B,236C…はそれぞれ,処理室104A〜104Dなどの各モジュールの各構成要素(以下,「エンドデバイス」と称する。)に接続された複数のI/O部238A,238B,238C…からなり,各エンドデバイスへの制御信号及び各エンドデバイスからの出力信号の伝達を行う。例えば処理室104のエンドデバイスとしては,処理室104内に導入されるガスの流量を制御するマスフローコントローラ,処理室104からの排気を制御するAPCバルブなどが挙げられる。   Each of the I / O modules 236A, 236B, 236C,..., Includes a plurality of I / O units 238A, 238A to 238A connected to each component (hereinafter referred to as “end device”) of each module such as the processing chambers 104A to 104D. 238B, 238C..., And transmits a control signal to each end device and an output signal from each end device. For example, examples of the end device of the processing chamber 104 include a mass flow controller that controls the flow rate of the gas introduced into the processing chamber 104, and an APC valve that controls exhaust from the processing chamber 104.

各GHOSTネットワーク206には,I/O部238A,238B,238C…におけるデジタル信号,アナログ信号,シリアル信号の入出力を制御するI/Oボード(図示しない)も接続される。   Also connected to each GHOST network 206 is an I / O board (not shown) that controls input / output of digital signals, analog signals, and serial signals in the I / O units 238A, 238B, 238C.

ここで,図19に示すEC300の構成例を図面を参照しながら説明する。図20はEC300の構成例を示すブロック図である。図20に示すように,EC300はEC本体を構成するCPU(中央処理装置)310,CPU310が行う各種データ処理のために使用されるメモリエリア等を設けたRAM(ランダム・アクセス・メモリ)320,操作画面や選択画面などを表示する液晶ディスプレイなどで構成される表示手段330,オペレータによるプロセスレシピの入力や編集など種々のデータの入力及び所定の記憶媒体へのプロセスレシピやプロセス・ログの出力など種々のデータの出力などを行うことができる入出力手段340,基板処理装置100に漏電等の異常が発生した際に報知する警報器(例えばブザー)などの報知手段350を備える。   Here, a configuration example of the EC 300 shown in FIG. 19 will be described with reference to the drawings. FIG. 20 is a block diagram showing a configuration example of EC300. As shown in FIG. 20, an EC 300 includes a CPU (central processing unit) 310 constituting an EC main body, a RAM (random access memory) 320 provided with a memory area used for various data processing performed by the CPU 310, Display means 330 including a liquid crystal display for displaying an operation screen, a selection screen, etc., input of various data such as process recipe input and editing by an operator, and output of process recipes and process logs to a predetermined storage medium, etc. An input / output unit 340 capable of outputting various data and the like, and an informing unit 350 such as an alarm device (for example, a buzzer) for informing when an abnormality such as electric leakage occurs in the substrate processing apparatus 100 are provided.

また,EC300は,基板処理装置100の種々の処理を実行するための処理プログラムを記憶するプログラムデータ記憶手段360,処理プログラムを実行するために必要な情報(データ)が記憶される処理データ記憶手段370を備える。プログラムデータ記憶手段360,処理データ記憶手段370は例えばハードディスク(HDD)などの記憶領域に構築される。CPU310は必要に応じてプログラムデータ記憶手段360,処理データ記憶手段370から必要なプログラム,データ等を読み出して,各種の処理プログラムを実行する。   The EC 300 includes a program data storage unit 360 that stores processing programs for executing various processes of the substrate processing apparatus 100, and a processing data storage unit that stores information (data) necessary to execute the processing programs. 370. The program data storage unit 360 and the processing data storage unit 370 are constructed in a storage area such as a hard disk (HDD). The CPU 310 reads necessary programs, data, and the like from the program data storage unit 360 and the processing data storage unit 370 as necessary, and executes various processing programs.

上記CPU310と,RAM320,表示手段330,入出力手段340,報知手段350,プログラムデータ記憶手段360,処理データ記憶手段370等とは,制御バス,データバス等のバスラインにより接続されている。このバスラインには,上記スイッチングハブ220なども接続されている。   The CPU 310, the RAM 320, the display means 330, the input / output means 340, the notification means 350, the program data storage means 360, the processing data storage means 370, etc. are connected by a bus line such as a control bus or a data bus. The switching hub 220 and the like are also connected to the bus line.

ここで,上述したような構成の制御部200による基板処理装置100の制御例について説明する。各処理室104A〜104Dにおいて,例えばSiウエハWに上述したようなCOR処理,PHT処理,Ti膜成膜処理,Tiシリサイド形成処理,TiN膜成膜処理などのプロセス処理を施す場合には,EC300のCPU310はプログラムデータ記憶手段360のプロセス処理プログラム364から実行する処理プログラムを読出し,処理データ記憶手段370のプロセス処理情報374から実行する処理のプロセスレシピの処理情報に基づいて各処理を実行する。   Here, a control example of the substrate processing apparatus 100 by the control unit 200 having the above-described configuration will be described. In each of the processing chambers 104A to 104D, for example, when processing such as the above-described COR processing, PHT processing, Ti film formation processing, Ti silicide formation processing, TiN film formation processing is performed on the Si wafer W, EC300 The CPU 310 reads a processing program to be executed from the process processing program 364 of the program data storage unit 360 and executes each process based on the process recipe processing information of the process to be executed from the process processing information 374 of the processing data storage unit 370.

すなわち,CPU310は,各処理プログラムに応じてスイッチングハブ220及び処理室104A〜104Dを制御するそれぞれのMC230,GHOSTネットワーク206及びI/Oモジュール236におけるI/O部238を介して,所望のエンドデバイスに制御信号を送信することによって各処理を実行する。   That is, the CPU 310 performs a desired end device via the MC 230, the GHOST network 206, and the I / O module 236 in the I / O module 236 that controls the switching hub 220 and the processing chambers 104A to 104D according to each processing program. Each process is executed by transmitting a control signal to the.

このような図19に示す制御部(システムコントローラ)200では,複数のエンドデバイスがEC300に直接接続されることなく,その複数のエンドデバイスに接続されたI/O部がモジュール化されてI/Oモジュールを構成する。このI/OモジュールはMC及びスイッチングハブ220を介してEC300に接続されるため,通信系統を簡素化することができる。   In such a control unit (system controller) 200 shown in FIG. 19, a plurality of end devices are not directly connected to the EC 300, but the I / O units connected to the plurality of end devices are modularized to form I / Os. Configure the O module. Since this I / O module is connected to the EC 300 via the MC and the switching hub 220, the communication system can be simplified.

また,EC300のCPU310が送信する制御信号には,所望のエンドデバイスに接続されたI/O部のアドレス,及びそのI/O部を含むI/Oモジュールのアドレスが含まれているため,スイッチングハブ220は制御信号におけるI/Oモジュールのアドレスを参照し,MCのGHOSTが制御信号におけるI/O部のアドレスを参照することによって,スイッチングハブ220やMCがCPU310に制御信号の送信先の問い合わせを行う必要を無くすことができ,これにより,制御信号の円滑な伝達を実現することができる。   The control signal transmitted by the CPU 310 of the EC 300 includes the address of the I / O unit connected to the desired end device and the address of the I / O module including the I / O unit. The hub 220 refers to the address of the I / O module in the control signal, and the GHOST of the MC refers to the address of the I / O unit in the control signal, so that the switching hub 220 or the MC inquires the CPU 310 about the destination of the control signal. Thus, smooth transmission of the control signal can be realized.

このように,第1実施形態にかかる基板処理装置100では,Siウエハに付着した自然酸化膜などの異物をプラズマを用いない異物除去処理(例えばCOR処理及びPHT処理)を実行することによって除去した後に,Siウエハを大気に露出することなく連続してTi膜を形成し,その後,連続してTiシリサイドを形成することができるため,下地との界面が非常にフラットで均一なTiシリサイド膜を形成することができる。   As described above, in the substrate processing apparatus 100 according to the first embodiment, foreign substances such as a natural oxide film adhering to the Si wafer are removed by executing the foreign substance removing process (for example, COR process and PHT process) without using plasma. Later, a Ti film can be continuously formed without exposing the Si wafer to the atmosphere, and then Ti silicide can be formed continuously. Therefore, a uniform Ti silicide film with a very flat interface with the base is formed. Can be formed.

このように,第1実施形態にかかる方法によれば,SiウエハのSi表面上に下地(Si)との界面が極めてフラットで均一で膜厚が薄いTiシリサイド膜を形成できるため,より浅い拡散層のコンタクトの形成に適用することができる。すなわち,浅い拡散層のコンタクトの形成に適用しても,その拡散層の底をTiシリサイド膜の一部が突き抜けて接合リーク電流が増えたり,接合が破壊されたりするなどの問題が生じることはない。しかも,より膜厚の薄いTiシリサイド膜を形成することができるので,Siウエハの拡散層は表面から浅くて不純物濃度が濃い位置にコンタクトを形成することができる。このため,より低抵抗なコンタクトを形成することができる。   As described above, according to the method according to the first embodiment, a Ti silicide film having a very flat, uniform and thin film thickness can be formed on the Si surface of the Si wafer. It can be applied to the formation of layer contacts. In other words, even if it is applied to the formation of a contact of a shallow diffusion layer, there is a problem that a part of the Ti silicide film penetrates through the bottom of the diffusion layer and junction leakage current increases or the junction is broken. Absent. In addition, since a thinner Ti silicide film can be formed, a contact can be formed at a position where the diffusion layer of the Si wafer is shallow from the surface and has a high impurity concentration. For this reason, a contact with lower resistance can be formed.

なお,膜の密着性,強度を向上させることができるので,剥がれ難い膜を形成することができる。また,プラズマを用いないで自然酸化膜を除去できるので,ダメージのない配線加工を行うことができ,良好なコンタクト抵抗を有する膜を成膜することができる。   In addition, since the adhesiveness and strength of the film can be improved, it is possible to form a film that does not easily peel off. Further, since the natural oxide film can be removed without using plasma, wiring processing without damage can be performed, and a film having good contact resistance can be formed.

(第1実施形態にかかる基板処理装置による効果を確認した実験)
以上説明した第1実施形態にかかる基板処理装置100によるウエハ処理(基板処理方法)の効果を確認した実験結果について図面を参照しながら説明する。ここでは,基板処理装置100により,サンプルのSiウエハ表面にCOR処理及びPHT処理による異物除去処理を実行した。その後,Siウエハを大気に露出することなく,連続して図8に示すALD−Ti膜成膜処理をプロセス温度565℃で実行してTi膜を成膜した。すなわち,TiClガスを短時間供給して吸着反応させた後に,Arガスの供給とHガスの供給とプラズマ生成とを行って還元する工程を複数回繰返することによってTi膜を成膜した。
(Experiment confirming the effect of the substrate processing apparatus according to the first embodiment)
The experimental results confirming the effect of wafer processing (substrate processing method) by the substrate processing apparatus 100 according to the first embodiment described above will be described with reference to the drawings. Here, the substrate processing apparatus 100 performed foreign substance removal processing by COR processing and PHT processing on the sample Si wafer surface. Thereafter, without exposing the Si wafer to the atmosphere, the ALD-Ti film forming process shown in FIG. 8 was continuously performed at a process temperature of 565 ° C. to form a Ti film. That is, after a TiCl 4 gas is supplied for a short time to cause an adsorption reaction, a Ti film is formed by repeating a reduction process by performing Ar gas supply, H 2 gas supply, and plasma generation a plurality of times. .

このときのサンプルの断面の走査型電子顕微鏡(SEM)写真を図21に示す。図21に示すように,第1実施形態にかかるウエハ処理によれば,Siウエハにおいて露出するSi表面に,下地(Si)との界面が非常にフラットで均一で膜厚が薄いTi膜を形成することができた。なお,Ti膜の下側にはTiSi膜が形成されているが,これは低温でも生じる例えばTiSi,TiSiなどであり,より安定なシリサイド相であるTiSiが形成されているわけではない。なお,Ti膜の膜厚は19.0nmであり,TiSi膜の膜厚は16.7nmである。従って,Ti膜とTiSi膜を合わせた膜厚は,35.7nmである。 A scanning electron microscope (SEM) photograph of the cross section of the sample at this time is shown in FIG. As shown in FIG. 21, according to the wafer processing according to the first embodiment, a Ti film having a very flat and uniform interface with the base (Si) is formed on the exposed Si surface of the Si wafer. We were able to. Although the lower side of the Ti film is formed is TiSi x film, this is, for example, TiSi 3, TiSi 4 also occurs at low temperatures, are not TiSi 2 is formed a more stable silicide phases Absent. The film thickness of the Ti film is 19.0 nm, and the film thickness of the TiSi x film is 16.7 nm. Therefore, the total film thickness of the Ti film and the TiSi x film is 35.7 nm.

その後,さらに連続してTiシリサイド形成処理をプロセス温度600℃で実行してTi膜を珪化(シリサイド化)してC49相のTiシリサイド膜(TiSi膜)を形成した。このときのサンプルの断面のSEM写真を図22に示す。図22に示すように,第1実施形態にかかるウエハ処理によれば,下地(Si)との界面が非常にフラットで均一で膜厚が薄いC49相のTiシリサイド膜を形成することができた。しかも,Ti膜が完全に珪化(シリサイド化)したTiシリサイド膜(TiSi膜)を形成することができた。なお,図22に示すTiシリサイド膜の膜厚は52.6nmである。 Thereafter, a Ti silicide formation process was continuously performed at a process temperature of 600 ° C., and the Ti film was silicided (silicided) to form a C49 phase Ti silicide film (TiSi 2 film). An SEM photograph of a cross section of the sample at this time is shown in FIG. As shown in FIG. 22, according to the wafer processing according to the first embodiment, a C49-phase Ti silicide film having a very flat, uniform and thin film interface with the base (Si) could be formed. . In addition, a Ti silicide film (TiSi 2 film) in which the Ti film is completely silicided (silicided) could be formed. Note that the thickness of the Ti silicide film shown in FIG. 22 is 52.6 nm.

なお,さらにTiシリサイド形成処理をプロセス温度650℃で実行してTiシリサイド相を相転移させてC54相のTiシリサイド膜(TiSi膜)を形成した。こうして,得られたTi膜,C49相のTiシリサイド膜,C54相のTiシリサイド膜についてのX線回折プロファイルを図23に示す。図23に示すように,第1実施形態にかかるウエハ処理によって形成したTi膜,C49相のTiシリサイド膜,C54相のTiシリサイド膜の結晶構造は,それぞれTi,C49相,C54相のピーク強度が強いことが確認された。 Further, a Ti silicide formation process was performed at a process temperature of 650 ° C. to cause a phase transition of the Ti silicide phase to form a C54 phase Ti silicide film (TiSi 2 film). FIG. 23 shows X-ray diffraction profiles of the Ti film, the C49 phase Ti silicide film, and the C54 phase Ti silicide film thus obtained. As shown in FIG. 23, the crystal structures of the Ti film, the C49 phase Ti silicide film, and the C54 phase Ti silicide film formed by the wafer processing according to the first embodiment are the peak intensities of the Ti, C49 phase, and C54 phase, respectively. Was confirmed to be strong.

これに対して,従来のウエハ処理によってTiシリサイド膜を形成した場合の実験結果を比較例として説明する。ここでは,基板処理装置外でサンプルのSiウエハを希フッ酸(DHF)等を利用したウエット洗浄によって自然酸化膜を除去した。そして,洗浄されたサンプルのSiウエハを基板処理装置内に取込んで,TiClガスの供給とHガスの供給とArガスの供給と,プラズマ発生とを同時期に行うCVD−Ti膜処理をプロセス温度650℃で実行することによって,Ti膜を成膜すると同時にTiシリサイド膜を形成した。このときのサンプルの断面のSEM写真を図24に示す。 On the other hand, experimental results when a Ti silicide film is formed by conventional wafer processing will be described as a comparative example. Here, the natural oxide film was removed from the sample Si wafer by wet cleaning using dilute hydrofluoric acid (DHF) or the like outside the substrate processing apparatus. Then, the cleaned sample Si wafer is taken into the substrate processing apparatus, and the TiCl 4 gas supply, the H 2 gas supply, the Ar gas supply, and the plasma generation are performed at the same time. Was performed at a process temperature of 650 ° C. to form a Ti film simultaneously with the formation of the Ti film. A SEM photograph of a cross section of the sample at this time is shown in FIG.

図24に示すように,従来のウエハ処理では,下地(Si)との界面のラフネスが大きいC49相のTiシリサイド膜が形成される。これは,サンプルのSiウエハを基板処理装置内に取込む際に,Siウエハに自然酸化膜などの異物が再度付着し,さらにその状態でTi膜の成膜と珪化を一度に行うため,SiウエハのSi表面上の異物173によってTi膜の均一な珪化が阻害されるとともに,Ti膜の珪化が急激に進んでしまったからであると推察される。   As shown in FIG. 24, in conventional wafer processing, a C49-phase Ti silicide film having a large roughness at the interface with the base (Si) is formed. This is because when a sample Si wafer is taken into the substrate processing apparatus, foreign substances such as a natural oxide film adhere to the Si wafer again, and in this state, a Ti film is formed and silicided at a time. This is presumably because the uniform silicidation of the Ti film is inhibited by the foreign matter 173 on the Si surface of the wafer, and the silicidation of the Ti film has progressed rapidly.

このような図24に示す従来の場合に比して,第1実施形態にかかるウエハ処理(基板処理方法)により形成されたTiシリサイド膜とその下地(Si)との界面は,図22に示すように非常にフラットで均一になっており,Tiシリサイド膜とその下地(Si)との界面の状態が大きく改善されたことがわかる。   Compared to the conventional case shown in FIG. 24, the interface between the Ti silicide film formed by the wafer processing (substrate processing method) according to the first embodiment and its base (Si) is shown in FIG. It can be seen that the state of the interface between the Ti silicide film and its base (Si) is greatly improved.

次に,別のSiウエハのサンプルを用いて,第1実施形態にかかるALD−Ti膜成膜処理によって形成したTiシリサイド膜と,従来のCVD−Ti膜成膜処理によって形成したTiシリサイド膜とを比較する。第1実施形態にかかるALD−Ti膜成膜処理は,基板処理装置100により,図8に示すようにTiClガスを短時間供給して吸着反応させた後に,Arガスの供給とHガスの供給とプラズマ生成とを行って還元する工程を複数回繰返することによって,プロセス温度565℃でTi膜を成膜した後,連続してプロセス温度600℃でTiシリサイド形成処理を行った。このときのTiシリサイド膜の表面のSEM写真を図25に示す。第1実施形態にかかるウエハ処理によれば,図25に示すように表面が非常にフラットで均一なTi膜を形成することができた。 Next, using a sample of another Si wafer, a Ti silicide film formed by the ALD-Ti film forming process according to the first embodiment, a Ti silicide film formed by the conventional CVD-Ti film forming process, and Compare In the ALD-Ti film forming process according to the first embodiment, as shown in FIG. 8, the substrate processing apparatus 100 supplies TiCl 4 gas for a short time to cause an adsorption reaction, and then supplies Ar gas and H 2 gas. A Ti film was formed at a process temperature of 565 ° C. by repeating the process of reducing by supplying and plasma generating a plurality of times, and subsequently, a Ti silicide formation process was performed at a process temperature of 600 ° C. An SEM photograph of the surface of the Ti silicide film at this time is shown in FIG. According to the wafer processing according to the first embodiment, a uniform Ti film having a very flat surface can be formed as shown in FIG.

これに対して,従来のCVD−Ti膜成膜処理は,TiClガスの供給とHガスの供給とArガスの供給と,プラズマ発生とを同時期に行うCVD−Ti膜処理をプロセス温度650℃で実行することによって,Ti膜を成膜すると同時にTiシリサイド膜を形成した。このときのTiシリサイド膜の表面のSEM写真を図26に示す。図26に示すように,従来のウエハ処理によれば,下地(Si)との界面のラフネスが大きいC49相のTiシリサイド膜が形成される。 On the other hand, the conventional CVD-Ti film forming process is performed by using a CVD-Ti film process in which TiCl 4 gas supply, H 2 gas supply, Ar gas supply, and plasma generation occur at the same time. By performing the process at 650 ° C., a Ti silicide film was formed at the same time as the Ti film was formed. A SEM photograph of the surface of the Ti silicide film at this time is shown in FIG. As shown in FIG. 26, according to the conventional wafer processing, a C49 phase Ti silicide film having a large roughness at the interface with the base (Si) is formed.

このような図26に示す従来の場合に比して,第1実施形態にかかるALD−Ti膜成膜処理により形成されたTiシリサイド膜の表面は,図25に示すように非常にフラットで均一になっており,Tiシリサイド膜の表面の状態も大きく改善されたことがわかる。   Compared to the conventional case shown in FIG. 26, the surface of the Ti silicide film formed by the ALD-Ti film forming process according to the first embodiment is very flat and uniform as shown in FIG. It can be seen that the state of the surface of the Ti silicide film is also greatly improved.

ここで,上述した第1実施形態にかかるALD−Ti膜成膜処理により形成されたTiシリサイド膜の比抵抗と,従来のCVD−Ti膜成膜処理により形成されたTiシリサイド膜の比抵抗を測定した結果を図27に示す。図27に示すように,第1実施形態にかかるALD−Ti膜成膜処理により形成されたTiシリサイド膜の比抵抗は,従来のCVD−Ti膜成膜処理により形成されたTiシリサイド膜の比抵抗に比して,略1/2以上低くなっていることがわかる。これにより,第1実施形態によれば,従来に比して,Tiシリサイド膜の界面や表面の状態が大きく改善し,これに伴ってTiシリサイド膜の抵抗をより一層低くすることができる。   Here, the specific resistance of the Ti silicide film formed by the ALD-Ti film forming process according to the first embodiment and the specific resistance of the Ti silicide film formed by the conventional CVD-Ti film forming process are shown. The measurement results are shown in FIG. As shown in FIG. 27, the specific resistance of the Ti silicide film formed by the ALD-Ti film forming process according to the first embodiment is the ratio of the Ti silicide film formed by the conventional CVD-Ti film forming process. It can be seen that it is approximately ½ or more lower than the resistance. Thereby, according to the first embodiment, the state of the interface and surface of the Ti silicide film can be greatly improved as compared with the prior art, and accordingly, the resistance of the Ti silicide film can be further reduced.

(第2実施形態にかかる基板処理装置の構成例)
次に,本発明の第2実施形態にかかる基板処理装置の構成例を図面を参照しながら説明する。図28は第2実施形態にかかる基板処理装置の1例を示す概略構成図である。図28に示すように,この基板処理装置101は,略多角形状(例えば六角形状)に形成された1つ共通搬送室102と,真空引き可能に構成された複数(例えば4つ)の処理室104A〜104Dとを備える真空処理装置を有する。図28に示す基板処理装置101における真空処理装置の構成は,図1に示す基板処理装置100における第1真空処理装置の構成とほぼ同様である。基板処理装置101は,1つの真空処理装置を2つのロードロック室108A,108Bを介して搬入側搬送室110に接続させた例である。このような構成の基板処理装置101においても本発明を適用することができる。
(Configuration Example of Substrate Processing Apparatus According to Second Embodiment)
Next, a configuration example of the substrate processing apparatus according to the second embodiment of the present invention will be described with reference to the drawings. FIG. 28 is a schematic configuration diagram illustrating an example of a substrate processing apparatus according to the second embodiment. As shown in FIG. 28, this substrate processing apparatus 101 includes one common transfer chamber 102 formed in a substantially polygonal shape (for example, hexagonal shape) and a plurality of (for example, four) processing chambers configured to be evacuated. 104A to 104D. The configuration of the vacuum processing apparatus in the substrate processing apparatus 101 shown in FIG. 28 is substantially the same as the configuration of the first vacuum processing apparatus in the substrate processing apparatus 100 shown in FIG. The substrate processing apparatus 101 is an example in which one vacuum processing apparatus is connected to the carry-in transfer chamber 110 via two load lock chambers 108A and 108B. The present invention can also be applied to the substrate processing apparatus 101 having such a configuration.

(処理室の構成例)
次に,図28に示す基板処理装置101における処理室の構成例を説明する。第2実施形態にかかる基板処理装置101においても,SiウエハのSi表面上の自然酸化膜などの異物をプラズマを用いない異物除去処理と,この異物除去処理が施されたSi表面上にTi膜を形成するTi膜形成処理と,Ti膜と下地(Si)との間で珪化反応を起こさせることによってTiシリサイドを形成するTiシリサイド形成処理を連続して実行するように構成することができる。
(Configuration example of processing chamber)
Next, a configuration example of the processing chamber in the substrate processing apparatus 101 shown in FIG. 28 will be described. Also in the substrate processing apparatus 101 according to the second embodiment, a foreign matter removal process that does not use plasma for foreign matters such as a natural oxide film on the Si surface of the Si wafer, and a Ti film on the Si surface that has been subjected to the foreign matter removal process. The Ti film forming process for forming Ti and the Ti silicide forming process for forming Ti silicide by causing a silicidation reaction between the Ti film and the base (Si) can be performed continuously.

処理室104A〜104Dのうち少なくとも2つの処理室の一方を異物除去処理室として構成し,他方の2つの処理室をそれぞれTi成膜処理室,Tiシリサイド形成処理室として構成する。また,異物除去処理は上述したように複数段階の処理,例えば生成物生成処理(COR処理)と生成物除去処理(例えばPHT処理)を連続して実行するようにしてもよい。この場合には処理室104A〜104Dのうちの2つの処理室をそれぞれ生成物生成処理室,生成物除去処理室として構成する。   One of at least two processing chambers among the processing chambers 104A to 104D is configured as a foreign matter removal processing chamber, and the other two processing chambers are configured as a Ti film forming processing chamber and a Ti silicide forming processing chamber, respectively. In addition, as described above, the foreign substance removal process may be performed in a plurality of stages, for example, a product generation process (COR process) and a product removal process (for example, a PHT process). In this case, two of the processing chambers 104A to 104D are configured as a product generation processing chamber and a product removal processing chamber, respectively.

ここで,基板処理装置101における処理室の構成例を図29に示す。図29に示す構成例は,共通搬送室102に接続される処理室104A,104B,104C,104DをそれぞれCOR処理室,PHT処理室,Ti膜成膜処理室,Tiシリサイド形成処理室として構成したものである。   Here, FIG. 29 shows a configuration example of a processing chamber in the substrate processing apparatus 101. In the configuration example shown in FIG. 29, the processing chambers 104A, 104B, 104C, and 104D connected to the common transfer chamber 102 are configured as a COR processing chamber, a PHT processing chamber, a Ti film deposition processing chamber, and a Ti silicide formation processing chamber, respectively. Is.

(ウエハの搬送処理)
このような図29に示す構成の基板処理装置101におけるウエハWの搬送処理について説明する。ウエハWに対する各処理室104A〜104Dにおける処理の順序が上記の順序で行われるので,ウエハWの搬送経路は図29に示す実線矢印のようになる。
(Wafer transfer processing)
A wafer W transfer process in the substrate processing apparatus 101 configured as shown in FIG. 29 will be described. Since the order of processing in the processing chambers 104A to 104D for the wafer W is performed in the order described above, the transfer path of the wafer W is as shown by a solid line arrow shown in FIG.

ここでは,一例として中央の導入ポート112Bに設置したカセット(キャリアも含む)から例えばコンタクトホール又はビアホールが形成された処理前ウエハWが取り出されるものとし,また2つのロードロック室108A,108Bのうちのいずれか一方のロードロック室,例えばロードロック室108Aを処理前ウエハWの搬入用に用い,他方のロードロック室108Bを処理済ウエハWの搬出用に用いる。今,各処理室104A〜104D内にはそれぞれウエハWが収容されてそれぞれの処理が終了しているか,又はほぼ終了しかけているものとする。   Here, as an example, it is assumed that the pre-process wafer W in which, for example, a contact hole or a via hole is formed is taken out from a cassette (including a carrier) installed in the central introduction port 112B, and one of the two load lock chambers 108A and 108B. One of the load lock chambers, for example, the load lock chamber 108A is used for loading the unprocessed wafer W, and the other load lock chamber 108B is used for unloading the processed wafer W. Now, it is assumed that the wafers W are accommodated in the respective processing chambers 104A to 104D, and the respective processes are finished or almost finished.

先ず,図29に示す搬入側搬送室110内のウエハWの搬送処理については,図13に示す場合と同様であるため,その詳細な説明は省略する。この場合,図29に示す搬送経路X31〜X33はそれぞれ図13に示す搬送経路X11〜X13に相当する。   First, the transfer process of the wafer W in the loading-side transfer chamber 110 shown in FIG. 29 is the same as that shown in FIG. In this case, the transport paths X31 to X33 shown in FIG. 29 correspond to the transport paths X11 to X13 shown in FIG.

次に,共通搬送室102内でのウエハの搬送処理について説明する。先ず,搬送機構118により処理室104Dに収容されている処理済のウエハWを取りに行き,搬送経路Y31に示すようにこれを空き状態のロードロック室108B内に置く。次いで,搬送機構118により処理室104C内に収容されている処理済のウエハWを取りに行き,搬送経路Y32に示すようにこれを空き状態の処理室104D内へ搬入して置き,処理室104D内での処理を開始する。   Next, wafer transfer processing in the common transfer chamber 102 will be described. First, the processed wafer W accommodated in the processing chamber 104D is picked up by the transfer mechanism 118, and placed in the empty load lock chamber 108B as indicated by the transfer path Y31. Next, the processed wafer W accommodated in the processing chamber 104C is picked up by the transfer mechanism 118, and is loaded into the empty processing chamber 104D as shown in the transfer path Y32, and is set in the processing chamber 104D. Start processing within.

続いて,搬送機構118により処理室104Bに収容されている処理済のウエハWを取りに行き,搬送経路Y33に示すようにこれを空き状態の処理室104C内へ搬入して置き,処理室104C内での処理を開始する。次いで,搬送機構118により処理室104A内に収容されている処理済のウエハWを取りに行き,搬送経路Y34に示すようにこれを空き状態の処理室104B内へ搬入して置き,処理室104B内での処理を開始する。   Subsequently, the processed wafer W accommodated in the processing chamber 104B is picked up by the transfer mechanism 118, and is loaded into the empty processing chamber 104C and placed in the empty processing chamber 104C as indicated by the transfer path Y33. Start processing within. Next, the processed wafer W accommodated in the processing chamber 104A is picked up by the transfer mechanism 118, and is loaded into the empty processing chamber 104B as shown in the transfer path Y34, and is set in the processing chamber 104B. Start processing within.

続いて,ロードロック室108A内で待機していた処理前のウエハWを搬送機構118によって取りに行き,搬送経路Y35に示すようにこれを上記空き状態の処理室104A内へ搬入して置き,この処理室104A内での処理を開始する。なお,ウエハWの搬出入の際には,各ゲートバルブ106A〜106D,107A,107Bのうち,ウエハWの搬出入に必要なゲートバルブを開閉操作する。そして,各処理室104A〜104DにてウエハWの処理が完了する毎に上記の操作が繰り返し行われることになる。   Subsequently, the unprocessed wafer W waiting in the load lock chamber 108A is picked up by the transfer mechanism 118, and is loaded into the empty process chamber 104A as shown in the transfer path Y35. Processing in the processing chamber 104A is started. When the wafer W is loaded / unloaded, among the gate valves 106A to 106D, 107A, 107B, a gate valve necessary for loading / unloading the wafer W is opened / closed. The above operation is repeated each time the processing of the wafer W is completed in each of the processing chambers 104A to 104D.

これにより,SiウエハWのSi表面上には,下地(Si)との界面が非常にフラットで均一なTiシリサイドを形成することができる。また,膜の密着性,強度が向上するのみならず,SiウエハWの下地(Si)にプラズマ起因のチャージアップダメージを負わせることを防止することができるので,ダメージのない配線加工を行うことができ,良好なコンタクト抵抗を有する膜を成膜することができる。   Thereby, on the Si surface of the Si wafer W, Ti silicide having a very flat interface with the base (Si) can be formed. In addition to improving the adhesion and strength of the film, it is possible to prevent the base (Si) of the Si wafer W from being charged with plasma-induced charge-up damage. Thus, a film having good contact resistance can be formed.

なお,上記各処理室104A〜104Dの構成は図29に示すものに限られるものではない。例えば各処理室104A〜104Dのうちのどの処理室をCOR処理室,PHT処理室,Ti膜成膜処理室,Tiシリサイド形成処理室として構成してもよい。従って,Siウエハの搬送順序も,各処理室104A〜104DのうちのCOR処理室,PHT処理室,Ti膜成膜処理室,Tiシリサイド形成処理室の順に搬送すれば,必ずしも各処理室104A〜104Dの順でなくてもよい。   The configuration of each of the processing chambers 104A to 104D is not limited to that shown in FIG. For example, any of the processing chambers 104A to 104D may be configured as a COR processing chamber, a PHT processing chamber, a Ti film deposition processing chamber, or a Ti silicide formation processing chamber. Accordingly, the transfer order of the Si wafers is not necessarily limited to the processing chambers 104A to 104D if they are transferred in the order of the COR processing chamber, the PHT processing chamber, the Ti film deposition processing chamber, and the Ti silicide formation processing chamber among the processing chambers 104A to 104D. The order may not be 104D.

また,共通搬送室102に処理室104A〜104Dの他に,別の処理室を追加して接続し,その処理室をTiN膜成膜処理室として構成するようにしてもよい。これによれば,Tiシリサイド形成処理が終了したSiウエハをTiN膜成膜処理室に搬送して,TiN膜成膜処理についても連続して実行することができる。   In addition to the processing chambers 104A to 104D, another processing chamber may be added and connected to the common transfer chamber 102, and the processing chamber may be configured as a TiN film deposition processing chamber. According to this, the Si wafer on which the Ti silicide formation process has been completed can be transferred to the TiN film formation process chamber, and the TiN film formation process can also be executed continuously.

上記第1又は第2実施形態により詳述した本発明については,複数の機器から構成されるシステムに適用しても,1つの機器からなる装置に適用してもよい。上述した実施形態の機能を実現するソフトウェアのプログラムを記憶した記憶媒体等の媒体をシステム或いは装置に供給し,そのシステム或いは装置のコンピュータ(またはCPUやMPU)が記憶媒体等の媒体に格納されたプログラムを読み出して実行することによっても,本発明が達成されることは言うまでもない。   The present invention described in detail in the first or second embodiment may be applied to a system constituted by a plurality of devices or an apparatus constituted by one device. A medium such as a storage medium storing software programs for realizing the functions of the above-described embodiments is supplied to the system or apparatus, and the computer (or CPU or MPU) of the system or apparatus is stored in the medium such as the storage medium. It goes without saying that the present invention can also be achieved by reading and executing the program.

この場合,記憶媒体等の媒体から読み出されたプログラム自体が上述した実施形態の機能を実現することになり,そのプログラムを記憶した記憶媒体等の媒体は本発明を構成することになる。プログラムを供給するための記憶媒体等の媒体としては,例えば,フロッピー(登録商標)ディスク,ハードディスク,光ディスク,光磁気ディスク,CD−ROM,CD−R,CD−RW,DVD−ROM,DVD−RAM,DVD−RW,DVD+RW,磁気テープ,不揮発性のメモリカード,ROM,或いはネットワークを介したダウンロードなどを用いることができる。   In this case, the program itself read from the medium such as a storage medium realizes the functions of the above-described embodiment, and the medium such as the storage medium storing the program constitutes the present invention. Examples of the medium such as a storage medium for supplying the program include a floppy (registered trademark) disk, a hard disk, an optical disk, a magneto-optical disk, a CD-ROM, a CD-R, a CD-RW, a DVD-ROM, and a DVD-RAM. , DVD-RW, DVD + RW, magnetic tape, non-volatile memory card, ROM, or network download.

なお,コンピュータが読み出したプログラムを実行することにより,上述した実施形態の機能が実現されるだけでなく,そのプログラムの指示に基づき,コンピュータ上で稼動しているOSなどが実際の処理の一部または全部を行い,その処理によって上述した実施形態の機能が実現される場合も,本発明に含まれる。   Note that by executing the program read by the computer, not only the functions of the above-described embodiments are realized, but also an OS or the like running on the computer is part of the actual processing based on the instructions of the program. Alternatively, the case where the functions of the above-described embodiment are realized by performing all the processing and the processing is included in the present invention.

さらに,記憶媒体等の媒体から読み出されたプログラムが,コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後,そのプログラムの指示に基づき,その機能拡張ボードや機能拡張ユニットに備わるCPUなどが実際の処理の一部または全部を行い,その処理によって上述した実施形態の機能が実現される場合も,本発明に含まれる。   Furthermore, after a program read from a medium such as a storage medium is written to a memory provided in a function expansion board inserted into the computer or a function expansion unit connected to the computer, the function is determined based on the instructions of the program. The present invention also includes a case where the CPU or the like provided in the expansion board or the function expansion unit performs part or all of the actual processing and the functions of the above-described embodiments are realized by the processing.

以上,添付図面を参照しながら本発明の好適な実施形態について説明したが,本発明は係る例に限定されないことは言うまでもない。当業者であれば,特許請求の範囲に記載された範疇内において,各種の変更例または修正例に想到し得ることは明らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される。   As mentioned above, although preferred embodiment of this invention was described referring an accompanying drawing, it cannot be overemphasized that this invention is not limited to the example which concerns. It will be apparent to those skilled in the art that various changes and modifications can be made within the scope of the claims, and these are naturally within the technical scope of the present invention. Understood.

例えば,上記実施形態においては,Si含有表面としてSiウエハのSi表面上に合金膜であるTiSi膜を形成する場合について説明したが,これに限られるものではなく,Si含有表面としてSiウエハ上に形成されたポリシリコン(poly−Si)上に合金膜を形成するようにしてもよく,また金属シリサイド膜上に合金膜を形成するようにしてもよい。例えばCoSiやNiSiなどの金属シリサイド膜からなる裏打ち層で拡散層をカバーする場合には,その裏打ち層(金属シリサイド膜)を下地としてその上に合金膜(例えばTi−Co膜,Ti−Ni膜など)を形成するようにしてもよい。 For example, in the above embodiment, the case where a TiSi 2 film, which is an alloy film, is formed as the Si-containing surface on the Si surface of the Si wafer has been described. However, the present invention is not limited to this, and the Si-containing surface is formed on the Si wafer. An alloy film may be formed on the polysilicon (poly-Si) formed on the metal layer, or an alloy film may be formed on the metal silicide film. For example, when the diffusion layer is covered with a backing layer made of a metal silicide film such as CoSi or NiSi, an alloy film (for example, a Ti—Co film, a Ti—Ni film) is formed on the backing layer (metal silicide film) as a base. Etc.) may be formed.

また,上記実施形態においては,金属含有原料ガスとしてTiClガスを用いた場合を例に挙げて説明したが,これに限定されるものではなく,Ti含有原料ガスならばどのようなものでもよい。例えば有機チタンとしてTDMAT(ジメチルアミノチタニウム),TDEAT(ジエチルアミノチタン)等を用いることもできる。 In the above embodiment, the case where TiCl 4 gas is used as the metal-containing source gas has been described as an example. However, the present invention is not limited to this, and any Ti-containing source gas may be used. . For example, TDMAT (dimethylaminotitanium), TDEAT (diethylaminotitanium), or the like can be used as the organic titanium.

さらにまた,上記実施形態においては,金属含有原料ガスとしてTi含有原料ガスを用いてチタンシリサイド膜を形成する場合を例にとって説明したが,これに限定されず,例えば,Ni,Co,Pt,Mo,Ta,Hf,Zr等の金属含有原料ガスを用いてこれら金属のシリサイド膜を形成する場合にも同様な効果を得ることができる。   Furthermore, in the above embodiment, the case where the titanium silicide film is formed using the Ti-containing source gas as the metal-containing source gas has been described as an example. However, the present invention is not limited to this. For example, Ni, Co, Pt, Mo The same effect can be obtained when a silicide film of these metals is formed using a metal-containing source gas such as Ta, Hf, or Zr.

本発明は,例えばSiウエハの表面や金属シリサイド層等のSi含有表面上に金属シリサイド膜を形成する基板処理を行う基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体に適用可能である。   The present invention is applicable to, for example, a substrate processing apparatus, a substrate processing method, a program, and a recording medium recording a program for performing a substrate processing for forming a metal silicide film on a Si-containing surface such as a surface of a Si wafer or a metal silicide layer. is there.

本発明の第1実施形態にかかる基板処理装置の構成例を示す断面図である。It is sectional drawing which shows the structural example of the substrate processing apparatus concerning 1st Embodiment of this invention. 同実施形態におけるSiウエハの膜構造の具体例を示す模式図である。It is a schematic diagram which shows the specific example of the film | membrane structure of Si wafer in the embodiment. 同実施形態にかかるウエハ処理を説明するための工程図である。FIG. 4 is a process diagram for describing wafer processing according to the same embodiment. 図3に示す各工程におけるコンタクトホールの底部(A部)の膜構造を拡大した模式図である。It is the schematic diagram which expanded the film | membrane structure of the bottom part (A part) of the contact hole in each process shown in FIG. 図4の比較例についてのコンタクトホールの底部(A部)の膜構造を拡大した模式図である。It is the schematic diagram which expanded the film | membrane structure of the bottom part (A part) of the contact hole about the comparative example of FIG. SiウエハのSi表面上にTi膜を成膜する際の成膜レートとウエハの設定温度との関係をグラフで示した図である。It is the figure which showed the relationship between the film-forming rate at the time of forming a Ti film | membrane on Si surface of Si wafer, and the preset temperature of a wafer with a graph. Ti膜成膜処理の具体例としてのCVD−Ti膜成膜処理におけるガス供給態様の1例を示す図である。It is a figure which shows one example of the gas supply aspect in the CVD-Ti film | membrane film forming process as a specific example of Ti film | membrane film forming process. Ti膜成膜処理の具体例としてのALD−Ti膜成膜処理におけるガス供給態様の1例を示す図である。It is a figure which shows one example of the gas supply aspect in the ALD-Ti film | membrane film-forming process as a specific example of Ti film | membrane film-forming process. Ti膜成膜処理の具体例としてのALD−Ti膜成膜処理におけるガス供給態様の他の例を示す図である。It is a figure which shows the other example of the gas supply aspect in the ALD-Ti film | membrane film-forming process as a specific example of Ti film | membrane film-forming process. Ti膜成膜処理の具体例としてのALD−Ti膜成膜処理におけるガス供給態様のさらに他の例を示す図である。It is a figure which shows the further another example of the gas supply aspect in the ALD-Ti film film-forming process as a specific example of Ti film-forming process. Ti膜成膜処理の具体例としてのSFD−Ti膜成膜処理におけるガス供給態様の1例を示す図である。It is a figure which shows one example of the gas supply aspect in the SFD-Ti film | membrane film-forming process as a specific example of Ti film | membrane film-forming process. SiウエハのSi表面上のTi膜を熱処理したときのウエハの設定温度と,Tiシリサイドの比抵抗及びこの比抵抗のウエハ面内均一性の関係をグラフに示した図である。FIG. 6 is a graph showing a relationship between a set temperature of a wafer when a Ti film on the Si surface of the Si wafer is heat-treated, a specific resistance of Ti silicide, and uniformity of the specific resistance within the wafer surface. 図1に示す基板処理装置における処理室の構成例を示す図である。It is a figure which shows the structural example of the process chamber in the substrate processing apparatus shown in FIG. 同実施形態にかかるCOR処理室の構成例を示す断面図である。It is sectional drawing which shows the structural example of the COR processing chamber concerning the embodiment. 同実施形態にかかるPHT処理室の構成例を示す断面図である。It is sectional drawing which shows the structural example of the PHT processing chamber concerning the embodiment. 同実施形態にかかるTi膜成膜処理室の構成例を示す断面図である。It is sectional drawing which shows the structural example of the Ti film | membrane film-forming process chamber concerning the embodiment. 同実施形態にかかるTiN膜成膜処理室の構成例を示す断面図である。It is sectional drawing which shows the structural example of the TiN film | membrane film-forming process chamber concerning the embodiment. 図1に示す基板処理装置における処理室の他の構成例を示す図である。It is a figure which shows the other structural example of the process chamber in the substrate processing apparatus shown in FIG. 図1に示す制御部(システムコントローラ)の構成例を示すブロック図である。It is a block diagram which shows the structural example of the control part (system controller) shown in FIG. 同実施形態におけるEC(装置制御部)の構成例を示すブロック図である。It is a block diagram which shows the structural example of EC (apparatus control part) in the embodiment. 本実施形態にかかるウエハ処理によって形成されたTi膜の断面の走査型電子顕微鏡(SEM)写真を示す図である。It is a figure which shows the scanning electron microscope (SEM) photograph of the cross section of Ti film | membrane formed by the wafer process concerning this embodiment. 本実施形態にかかるウエハ処理によって形成されたC49相Tiシリサイド膜(TiSi膜)の断面の走査型電子顕微鏡(SEM)写真を示す図である。It is a diagram showing a scanning electron microscope (SEM) photograph of a cross section of the C49 phase Ti silicide film formed by wafer processing according to the present embodiment (TiSi 2 film). 本実施形態にかかるウエハ処理によって形成されたTi膜,C49相Tiシリサイド膜(TiSi膜),C54相Tiシリサイド膜(TiSi膜)のX線回折プロファイルを示す図である。Ti film formed by wafer processing according to the present embodiment, C49-phase Ti silicide film (TiSi 2 film) is a diagram showing an X-ray diffraction profile of the C54 phase Ti silicide film (TiSi 2 film). 従来のウエハ処理によって形成されたC49相Tiシリサイド膜(TiSi膜)の断面の走査型電子顕微鏡(SEM)写真を示す図である。It is a diagram showing a scanning electron microscope (SEM) photograph of a cross section of the C49 phase Ti silicide film formed by a conventional wafer processing (TiSi 2 film). 本実施形態にかかるウエハ処理によって形成されたC49相Tiシリサイド膜(TiSi膜)の表面の走査型電子顕微鏡(SEM)写真を示す図である。It is a diagram showing a scanning electron microscope (SEM) photograph of the surface of the C49-phase Ti silicide film formed by wafer processing according to the present embodiment (TiSi 2 film). 従来のウエハ処理によって形成されたC49相Tiシリサイド膜(TiSi膜)の表面の走査型電子顕微鏡(SEM)写真を示す図である。It is a diagram showing a scanning electron microscope (SEM) photograph of the surface of the C49-phase Ti silicide film formed by a conventional wafer processing (TiSi 2 film). 本実施形態にかかるALD−Ti膜成膜処理により形成されたTiシリサイド膜の比抵抗と,従来のCVD−Ti膜成膜処理により形成されたTiシリサイド膜の比抵抗との測定結果を示す図である。The figure which shows the measurement result of the specific resistance of Ti silicide film | membrane formed by the ALD-Ti film film-forming process concerning this embodiment, and the specific resistance of Ti silicide film | membrane formed by the conventional CVD-Ti film | membrane film-forming process. It is. 本発明の第2実施形態にかかる基板処理装置の構成例を示す断面図である。It is sectional drawing which shows the structural example of the substrate processing apparatus concerning 2nd Embodiment of this invention. 図28に示す基板処理装置における処理室の構成例を示す図である。It is a figure which shows the structural example of the process chamber in the substrate processing apparatus shown in FIG. 半導体デバイスの配線構造を示す模式図である。It is a schematic diagram which shows the wiring structure of a semiconductor device.

符号の説明Explanation of symbols

100,101 基板処理装置
102 第1共通搬送室
104(104A〜104F) 処理室
105(105A〜105F) 載置台
106A〜106F ゲートバルブ
107A,107B ゲートバルブ
108(108A,108B) ロードロック室
109(109A,109B) 搬送口
110 搬入側搬送室
112(112A〜112C) 導入ポート
112B 導入ポート
114 オリエンタ
116 搬入側搬送機構
116A,116B ピック
118 第1搬送機構
118A,118B ピック
120 第2共通搬送室
122 パス部
124 第2搬送機構
124A,124B ピック
126 ゲートバルブ
160 Siウエハ(シリコンウエハ)
161 界面
162 ベア基板
163 Si表面
164 層間絶縁膜
165 コンタクトホール
166 Tiシリサイド膜(チタンシリサイド膜)
172 ベア基板
173 異物
177 Tiシリサイド膜
200 制御部(システムコントローラ)
300 EC(装置制御部)
310 CPU
320 RAM
330 表示手段
340 入出力手段
350 報知手段
360 プログラムデータ記憶手段
362 搬送処理プログラム
364 プロセス処理プログラム
370 処理データ記憶手段
374 プロセス処理情報
400 励起ガス反応処理室
500 熱処理室
600 プラズマCVD処理室
700 プラズマCVD処理室
W ウエハ(Siウエハ)
100, 101 Substrate processing apparatus 102 First common transfer chamber 104 (104A-104F) Processing chamber 105 (105A-105F) Mounting table 106A-106F Gate valve 107A, 107B Gate valve 108 (108A, 108B) Load lock chamber 109 (109A) , 109B) Transfer port 110 Carry-in side transfer chamber 112 (112A to 112C) Introduction port 112B Introduction port 114 Orienter 116 Carry-in side transfer mechanism 116A, 116B Pick 118 First transfer mechanism 118A, 118B Pick 120 Second common transfer chamber 122 Pass section 124 Second transport mechanism 124A, 124B Pick 126 Gate valve 160 Si wafer (silicon wafer)
161 Interface 162 Bare substrate 163 Si surface 164 Interlayer insulating film 165 Contact hole 166 Ti silicide film (titanium silicide film)
172 Bare substrate 173 Foreign material 177 Ti silicide film 200 Control unit (system controller)
300 EC (device control unit)
310 CPU
320 RAM
330 Display means 340 Input / output means 350 Notification means 360 Program data storage means 362 Transfer processing program 364 Process processing program 370 Processing data storage means 374 Process processing information 400 Excitation gas reaction processing chamber 500 Heat treatment chamber 600 Plasma CVD processing chamber 700 Plasma CVD processing Chamber W Wafer (Si wafer)

Claims (28)

被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,
前記複数の処理室は,
前記被処理基板において露出するシリコン含有表面上の異物を除去する異物除去処理室と,
前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理室と,
前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との反応を起こさせることによって合金膜を形成する合金化処理室とを含むことを特徴とする基板処理装置。
A plurality of processing chambers for performing predetermined processing on the substrate to be processed; a common transfer chamber commonly connected to these processing chambers; and a transfer mechanism for transferring the substrate to be processed provided in the common transfer chamber; A substrate processing apparatus having a vacuum processing apparatus comprising:
The plurality of processing chambers are:
A foreign matter removal treatment chamber for removing foreign matter on the silicon-containing surface exposed in the substrate to be treated;
A metal film forming process chamber for supplying a metal-containing source gas onto the substrate to be processed and forming a metal film on the silicon-containing surface from which the foreign matters have been removed;
A substrate processing apparatus comprising: an alloying processing chamber for forming an alloy film by heat-treating the substrate to be processed to cause a reaction between the metal film and the silicon-containing surface.
前記異物除去処理室は,
前記被処理基板上に励起ガスを供給し,前記シリコン含有表面上の前記異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室との2つの処理室により構成されることを特徴とする請求項1に記載の基板処理装置。
The foreign matter removal processing chamber is
A product generation processing chamber for supplying an excitation gas onto the substrate to be processed and generating a product by chemically reacting the foreign matter on the silicon-containing surface with a gas component of the excitation gas;
2. The substrate according to claim 1, comprising two treatment chambers, a product removal treatment chamber for sublimating and removing the product on the silicon-containing surface by heat-treating the substrate to be treated. Processing equipment.
前記合金膜は,金属シリサイド膜であり,
前記合金化処理室は,前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との反応を起こさせることによって金属シリサイド膜を形成するシリサイド形成処理室であることを特徴とする請求項1又は2に記載の基板処理装置。
The alloy film is a metal silicide film,
The alloying chamber is a silicide formation chamber in which a metal silicide film is formed by heat-treating the substrate to be processed to cause a reaction between the metal film and the silicon-containing surface. 3. The substrate processing apparatus according to 1 or 2.
前記金属膜成膜処理室は,前記金属膜の成膜処理を,前記金属膜のシリサイド相が形成されない程度の温度範囲で実行し,
前記シリサイド形成処理室は,前記金属膜の熱処理を,前記金属膜のシリサイド相が形成される程度の温度範囲で実行することを特徴とする請求項3に記載の基板処理装置。
The metal film deposition processing chamber performs the metal film deposition process in a temperature range in which a silicide phase of the metal film is not formed,
The substrate processing apparatus according to claim 3, wherein the silicide formation processing chamber executes the heat treatment of the metal film in a temperature range in which a silicide phase of the metal film is formed.
前記金属膜成膜処理室は,前記金属膜の成膜処理を,580℃未満の温度範囲で実行し,
前記シリサイド形成処理室は,前記金属膜の熱処理を,580℃以上の温度範囲で実行することを特徴とする請求項3に記載の基板処理装置。
The metal film deposition processing chamber executes the metal film deposition process in a temperature range of less than 580 ° C.,
The substrate processing apparatus according to claim 3, wherein the silicide forming chamber performs a heat treatment of the metal film in a temperature range of 580 ° C. or more.
前記金属膜成膜処理室は,前記被処理基板上に前記金属含有原料ガスを供給して前記シリコン含有表面上に前記金属膜の吸着反応を生じさせる工程と,還元ガスを供給して前記シリコン含有表面上に吸着した前記金属膜を還元する工程とを複数回繰返すことにより,前記金属膜を成膜することを特徴とする請求項3に記載の基板処理装置。 The metal film deposition processing chamber supplies the metal-containing source gas onto the substrate to be processed to cause an adsorption reaction of the metal film on the silicon-containing surface, and supplies a reducing gas to the silicon The substrate processing apparatus according to claim 3, wherein the metal film is formed by repeating a step of reducing the metal film adsorbed on the containing surface a plurality of times. 前記シリサイド形成処理室は,前記金属膜を完全に珪化することを特徴とする請求項3〜5のいずれかに記載の基板処理装置。 The substrate processing apparatus according to claim 3, wherein the silicide forming chamber completely silicides the metal film. 前記シリコン含有表面は,シリコン又は金属シリサイドからなることを特徴とする請求項1〜7のいずれかに記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein the silicon-containing surface is made of silicon or metal silicide. 前記金属は,Ti,Ta,Wから選択されたものであることを特徴とする請求項1〜8のいずれかに記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein the metal is selected from Ti, Ta, and W. 前記真空処理装置を複数備え,前記各真空処理装置をパス部を介してそれぞれ連結してなることを特徴とする請求項1〜9のいずれかに記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein a plurality of the vacuum processing apparatuses are provided, and the vacuum processing apparatuses are connected to each other through a path unit. 被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,
前記複数の処理室は,
前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室と,
前記被処理基板上に第1金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に第1金属膜を成膜する第1金属膜成膜処理室と,
前記被処理基板を熱処理して前記第1金属膜と前記シリコン含有表面との珪化反応を起させることによって,第1金属シリサイド膜を形成する第1金属シリサイド形成処理室と,
前記被処理基板上に第2金属含有原料ガスを供給して,前記第1金属シリサイド膜上に第2金属膜を成膜する第2金属膜成膜処理室とを含むことを特徴とする基板処理装置。
A plurality of processing chambers for performing predetermined processing on the substrate to be processed; a common transfer chamber commonly connected to these processing chambers; and a transfer mechanism for transferring the substrate to be processed provided in the common transfer chamber; A substrate processing apparatus having a vacuum processing apparatus comprising:
The plurality of processing chambers are:
A product generation processing chamber for supplying an excitation gas onto the substrate to be processed and generating a product by chemically reacting a foreign substance on the silicon-containing surface exposed on the substrate to be processed with a gas component of the excitation gas. When,
A product removal treatment chamber for heat-treating the substrate to be treated to sublimate and remove the product on the silicon-containing surface;
A first metal film forming process chamber for supplying a first metal-containing source gas onto the substrate to be processed and forming a first metal film on the silicon-containing surface from which the foreign matters have been removed;
A first metal silicide formation treatment chamber for forming a first metal silicide film by heat-treating the substrate to be treated to cause a silicidation reaction between the first metal film and the silicon-containing surface;
A substrate comprising: a second metal film deposition processing chamber for supplying a second metal-containing source gas onto the substrate to be treated and depositing a second metal film on the first metal silicide film. Processing equipment.
前記真空処理装置を複数備え,前記各真空処理装置をパス部を介してそれぞれ連結してなることを特徴とする請求項11に記載の基板処理装置。 The substrate processing apparatus according to claim 11, comprising a plurality of the vacuum processing apparatuses, wherein each of the vacuum processing apparatuses is connected to each other through a pass unit. 被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,
前記複数の処理室は,
前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室と,
前記被処理基板上にTi含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上にTi膜を成膜するTi膜成膜処理室と,
前記被処理基板を熱処理して前記Ti膜と前記シリコン含有表面との珪化反応を起させることによって,Tiシリサイド膜を形成するTiシリサイド形成処理室とを含むことを特徴とする基板処理装置。
A plurality of processing chambers for performing predetermined processing on the substrate to be processed; a common transfer chamber commonly connected to these processing chambers; and a transfer mechanism for transferring the substrate to be processed provided in the common transfer chamber; A substrate processing apparatus having a vacuum processing apparatus comprising:
The plurality of processing chambers are:
A product generation processing chamber for supplying an excitation gas onto the substrate to be processed and generating a product by chemically reacting a foreign substance on the silicon-containing surface exposed on the substrate to be processed with a gas component of the excitation gas. When,
A product removal treatment chamber for heat-treating the substrate to be treated to sublimate and remove the product on the silicon-containing surface;
A Ti film forming process chamber for supplying a Ti-containing source gas onto the substrate to be processed and forming a Ti film on the silicon-containing surface from which the foreign matters have been removed;
A substrate processing apparatus comprising: a Ti silicide formation processing chamber for forming a Ti silicide film by heat-treating the substrate to be processed to cause a silicidation reaction between the Ti film and the silicon-containing surface.
前記真空処理装置を複数備え,前記各真空処理装置をパス部を介してそれぞれ連結してなることを特徴とする請求項13に記載の基板処理装置。 The substrate processing apparatus according to claim 13, comprising a plurality of the vacuum processing apparatuses, wherein each of the vacuum processing apparatuses is connected to each other through a pass unit. 被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,
前記複数の処理室は,
前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室と,
前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理室と,
前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,準安定なシリサイド相の金属シリサイド膜を形成する準安定シリサイド相形成処理室と,
前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,安定なシリサイド相の金属シリサイド膜を形成する安定シリサイド相形成処理室とを含むことを特徴とする基板処理装置。
A plurality of processing chambers for performing predetermined processing on the substrate to be processed; a common transfer chamber commonly connected to these processing chambers; and a transfer mechanism for transferring the substrate to be processed provided in the common transfer chamber; A substrate processing apparatus having a vacuum processing apparatus comprising:
The plurality of processing chambers are:
A product generation processing chamber for supplying an excitation gas onto the substrate to be processed and generating a product by chemically reacting a foreign substance on the silicon-containing surface exposed on the substrate to be processed with a gas component of the excitation gas. When,
A product removal treatment chamber for heat-treating the substrate to be treated to sublimate and remove the product on the silicon-containing surface;
A metal film forming process chamber for supplying a metal-containing source gas onto the substrate to be processed and forming a metal film on the silicon-containing surface from which the foreign matters have been removed;
A metastable silicide phase formation processing chamber for forming a metal silicide film of a metastable silicide phase by heat-treating the substrate to be treated to cause a silicidation reaction between the metal film and the silicon-containing surface;
A stable silicide phase forming treatment chamber for forming a metal silicide film of a stable silicide phase by causing a silicidation reaction between the metal film and the silicon-containing surface by heat-treating the substrate to be processed; Substrate processing apparatus.
前記真空処理装置を複数備え,前記各真空処理装置をパス部を介してそれぞれ連結してなることを特徴とする請求項15に記載の基板処理装置。 The substrate processing apparatus according to claim 15, comprising a plurality of the vacuum processing apparatuses, wherein the vacuum processing apparatuses are connected to each other through a path unit. 被処理基板に所定の処理を施す複数の処理室と,これらの処理室に共通に連結される共通搬送室と,この共通搬送室内に設けられた前記被処理基板を搬送するための搬送機構とを備える真空処理装置を有する基板処理装置であって,
前記複数の処理室は,
前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理室と,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理室と,
前記被処理基板上にTi含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上にTi膜を成膜するTi膜成膜処理室と,
前記被処理基板を熱処理して前記Ti膜と前記シリコン含有表面との珪化反応を起させることによって,C49相のTiシリサイド膜を形成するC49相シリサイド形成処理室と,
前記被処理基板を熱処理して前記Ti膜と前記シリコン含有表面との珪化反応を起させることによって,C54相のTiシリサイド膜を形成するC54相シリサイド形成処理室とを含むことを特徴とする基板処理装置。
A plurality of processing chambers for performing predetermined processing on the substrate to be processed; a common transfer chamber commonly connected to these processing chambers; and a transfer mechanism for transferring the substrate to be processed provided in the common transfer chamber; A substrate processing apparatus having a vacuum processing apparatus comprising:
The plurality of processing chambers are:
A product generation processing chamber for supplying an excitation gas onto the substrate to be processed and generating a product by chemically reacting a foreign substance on the silicon-containing surface exposed on the substrate to be processed with a gas component of the excitation gas. When,
A product removal treatment chamber for heat-treating the substrate to be treated to sublimate and remove the product on the silicon-containing surface;
A Ti film forming process chamber for supplying a Ti-containing source gas onto the substrate to be processed and forming a Ti film on the silicon-containing surface from which the foreign matters have been removed;
A C49-phase silicide formation processing chamber for forming a C49-phase Ti silicide film by heat-treating the substrate to be treated to cause a silicidation reaction between the Ti film and the silicon-containing surface;
And a C54 phase silicide formation processing chamber for forming a C54 phase Ti silicide film by heat-treating the substrate to be treated to cause a silicidation reaction between the Ti film and the silicon-containing surface. Processing equipment.
前記真空処理装置を複数備え,前記各真空処理装置をパス部を介してそれぞれ連結してなることを特徴とする請求項17に記載の基板処理装置。 The substrate processing apparatus according to claim 17, comprising a plurality of the vacuum processing apparatuses, wherein each of the vacuum processing apparatuses is connected to each other through a path unit. 被処理基板のシリコン含有表面上に合金膜を形成する基板処理装置の基板処理方法であって,
前記被処理基板において露出するシリコン含有表面上の異物を除去する異物除去処理工程と,
前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理工程と,
前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との反応を起させることによって合金膜を形成する合金化処理工程と,
を前記基板処理装置内で連続して実行することを特徴とする基板処理方法。
A substrate processing method of a substrate processing apparatus for forming an alloy film on a silicon-containing surface of a substrate to be processed,
A foreign matter removing process for removing foreign matter on the silicon-containing surface exposed in the substrate to be treated;
A metal film forming process for supplying a metal-containing source gas on the substrate to be processed and forming a metal film on the silicon-containing surface from which the foreign matters have been removed;
An alloying process for forming an alloy film by heat-treating the substrate to be treated to cause a reaction between the metal film and the silicon-containing surface;
Is continuously executed in the substrate processing apparatus.
前記異物除去処理工程は,
前記被処理基板上に励起ガスを供給し,前記シリコン含有表面上の前記異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理工程と,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理工程とを連続して実行することを特徴とする請求項19に記載の基板処理方法。
The foreign matter removing process includes
A product generation processing step for supplying an excitation gas onto the substrate to be processed, and generating a product by chemically reacting the foreign matter on the silicon-containing surface with a gas component of the excitation gas;
20. The substrate processing method according to claim 19, wherein a product removal processing step for sublimating and removing the product on the silicon-containing surface by heat-treating the substrate to be processed is continuously performed.
前記合金膜は,金属シリサイド膜であり,
前記合金化処理工程は,前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との反応を起こさせることによって金属シリサイド膜を形成するシリサイド形成処理工程であることを特徴とする請求項19又は20に記載の基板処理方法。
The alloy film is a metal silicide film,
The alloying treatment step is a silicide formation treatment step of forming a metal silicide film by heat-treating the substrate to be treated to cause a reaction between the metal film and the silicon-containing surface. The substrate processing method according to 19 or 20.
前記金属膜成膜処理工程は,前記金属膜の成膜処理を,前記金属膜のシリサイド相が形成されない程度の温度範囲で実行し,
前記シリサイド形成処理工程は,前記金属膜の熱処理を,前記金属膜のシリサイド相が形成される程度の温度範囲で実行することを特徴とする請求項21に記載の基板処理方法。
In the metal film deposition process step, the metal film deposition process is performed in a temperature range in which a silicide phase of the metal film is not formed,
The substrate processing method according to claim 21, wherein the silicide formation processing step performs the heat treatment of the metal film in a temperature range in which a silicide phase of the metal film is formed.
前記金属膜成膜処理工程は,前記金属膜の成膜処理を,580℃未満の温度範囲で実行し,
前記シリサイド形成処理工程は,前記金属膜の熱処理を,580℃以上の温度範囲で実行することを特徴とする請求項21に記載の基板処理方法。
In the metal film deposition process step, the metal film deposition process is performed in a temperature range of less than 580 ° C.
The substrate processing method according to claim 21, wherein in the silicide formation processing step, the heat treatment of the metal film is performed in a temperature range of 580 ° C or higher.
前記金属膜成膜処理工程は,前記被処理基板上に前記金属含有原料ガスを供給して前記シリコン含有表面上に前記金属膜の吸着反応を生じさせる工程と,還元ガスを供給して前記シリコン含有表面上に吸着した前記金属膜を還元する工程とを複数回繰返すことにより,前記金属膜を成膜することを特徴とする請求項21に記載の基板処理方法。 The metal film deposition process includes supplying the metal-containing source gas onto the substrate to be processed to cause an adsorption reaction of the metal film on the silicon-containing surface, and supplying a reducing gas to the silicon The substrate processing method according to claim 21, wherein the metal film is formed by repeating the step of reducing the metal film adsorbed on the containing surface a plurality of times. 被処理基板のシリコン含有表面上に金属シリサイド膜を形成する基板処理装置の基板処理方法であって,
前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成工程と,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去工程と,
前記被処理基板上に第1金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に第1金属膜を成膜する第1金属膜成膜工程と,
前記被処理基板を熱処理して前記第1金属膜と前記シリコン含有表面との珪化反応を起させることによって,第1金属シリサイド膜を形成する第1金属シリサイド形成処理工程と,
前記被処理基板上に第2金属含有原料ガスを供給して,前記第1金属シリサイド膜上に第2金属膜を成膜する第2金属膜成膜工程とを含むことを特徴とする基板処理方法。
A substrate processing method of a substrate processing apparatus for forming a metal silicide film on a silicon-containing surface of a substrate to be processed,
A product generating step for supplying an excitation gas onto the substrate to be processed and generating a product by chemically reacting a foreign substance on the silicon-containing surface exposed on the substrate to be processed with a gas component of the excitation gas; ,
A product removal step for subliming and removing the product on the silicon-containing surface by heat-treating the substrate to be treated;
A first metal film forming step of supplying a first metal-containing source gas on the substrate to be processed and forming a first metal film on the silicon-containing surface from which the foreign matters have been removed;
A first metal silicide formation process for forming a first metal silicide film by heat-treating the substrate to be processed to cause a silicidation reaction between the first metal film and the silicon-containing surface;
And a second metal film forming step of supplying a second metal-containing source gas onto the substrate to be processed and forming a second metal film on the first metal silicide film. Method.
被処理基板のシリコン含有表面上に金属シリサイド膜を形成する基板処理装置の基板処理方法であって,
前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理工程と,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理工程と,
前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理工程と,
前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,準安定なシリサイド相の金属シリサイド膜を形成する準安定シリサイド相形成処理工程と,
前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,安定なシリサイド相の金属シリサイド膜を形成する安定シリサイド相形成処理工程とを含むことを特徴とする基板処理方法。
A substrate processing method of a substrate processing apparatus for forming a metal silicide film on a silicon-containing surface of a substrate to be processed,
A product generation processing step for supplying an excitation gas onto the substrate to be processed and generating a product by causing a chemical reaction between a foreign substance on the silicon-containing surface exposed on the substrate to be processed and a gas component of the excitation gas; ,
A product removal treatment step for sublimating and removing the product on the silicon-containing surface by heat-treating the substrate to be treated;
A metal film forming process for supplying a metal-containing source gas on the substrate to be processed and forming a metal film on the silicon-containing surface from which the foreign matters have been removed;
A metastable silicide phase forming treatment step of forming a metastable silicide phase metal silicide film by heat-treating the substrate to be treated to cause a silicidation reaction between the metal film and the silicon-containing surface;
And a stable silicide phase forming treatment step of forming a stable silicide phase metal silicide film by heat-treating the substrate to be treated to cause a silicidation reaction between the metal film and the silicon-containing surface. Substrate processing method.
被処理基板のシリコン含有表面上に金属シリサイド膜を形成する基板処理装置の基板処理方法を実行するプログラムを記憶した記録媒体であって,
コンピュータに,
前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の異物と励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理ステップと,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理ステップと,
前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理ステップと,
前記被処理基板を熱処理して前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,金属シリサイド膜を形成するシリサイド形成処理ステップと,
を前記基板処理装置内で連続して実行させるためのプログラムを記録したコンピュータ読み取り可能な記録媒体。
A recording medium storing a program for executing a substrate processing method of a substrate processing apparatus for forming a metal silicide film on a silicon-containing surface of a substrate to be processed,
Computer
A product generation processing step for supplying an excitation gas onto the substrate to be processed, and generating a product by chemically reacting a foreign substance on the silicon-containing surface exposed on the substrate to be processed with a gas component of the excitation gas; ,
A product removal treatment step for sublimating and removing the product on the silicon-containing surface by heat-treating the substrate to be treated;
A metal film forming process step of supplying a metal-containing source gas on the substrate to be processed and forming a metal film on the silicon-containing surface from which the foreign matters have been removed;
A silicide forming step of forming a metal silicide film by heat-treating the substrate to be treated to cause a silicidation reaction between the metal film and the silicon-containing surface;
A computer-readable recording medium having recorded thereon a program for continuously executing the program in the substrate processing apparatus.
被処理基板のシリコン含有表面上に金属シリサイド膜を形成する基板処理装置の基板処理方法を実行するプログラムであって,
コンピュータに,
前記被処理基板上に励起ガスを供給し,前記被処理基板において露出するシリコン含有表面上の前記異物と前記励起ガスのガス成分とを化学反応させて生成物を生成するための生成物生成処理ステップと,
前記被処理基板を熱処理して前記シリコン含有表面上の前記生成物を昇華除去するための生成物除去処理ステップと,
前記被処理基板上に金属含有原料ガスを供給して,前記異物が除去された前記シリコン含有表面上に金属膜を成膜する金属膜成膜処理ステップと,
前記被処理基板を熱処理して,前記金属膜と前記シリコン含有表面との珪化反応を起させることによって,金属シリサイド膜を形成するシリサイド形成処理ステップと,
を前記基板処理装置内で連続して実行させるためのプログラム。
A program for executing a substrate processing method of a substrate processing apparatus for forming a metal silicide film on a silicon-containing surface of a substrate to be processed,
Computer
A product generation process for supplying an excitation gas onto the substrate to be processed and generating a product by chemically reacting the foreign matter on the silicon-containing surface exposed on the substrate to be processed with the gas component of the excitation gas. Steps,
A product removal treatment step for sublimating and removing the product on the silicon-containing surface by heat-treating the substrate to be treated;
A metal film forming process step of supplying a metal-containing source gas on the substrate to be processed and forming a metal film on the silicon-containing surface from which the foreign matters have been removed;
A silicide forming step of forming a metal silicide film by heat-treating the substrate to be processed to cause a silicidation reaction between the metal film and the silicon-containing surface;
For continuously executing the program in the substrate processing apparatus.
JP2005303940A 2005-10-19 2005-10-19 Substrate processing apparatus, substrate processing method, program, and recording medium recording program Expired - Fee Related JP5046506B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2005303940A JP5046506B2 (en) 2005-10-19 2005-10-19 Substrate processing apparatus, substrate processing method, program, and recording medium recording program
PCT/JP2006/318333 WO2007046204A1 (en) 2005-10-19 2006-09-15 Substrate treating apparatus, method of substrate treatment, program, and recording medium in which program is recorded
TW95138422A TWI443719B (en) 2005-10-19 2006-10-18 A substrate processing method, a program and a recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005303940A JP5046506B2 (en) 2005-10-19 2005-10-19 Substrate processing apparatus, substrate processing method, program, and recording medium recording program

Publications (3)

Publication Number Publication Date
JP2007115797A true JP2007115797A (en) 2007-05-10
JP2007115797A5 JP2007115797A5 (en) 2008-11-20
JP5046506B2 JP5046506B2 (en) 2012-10-10

Family

ID=37962303

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005303940A Expired - Fee Related JP5046506B2 (en) 2005-10-19 2005-10-19 Substrate processing apparatus, substrate processing method, program, and recording medium recording program

Country Status (3)

Country Link
JP (1) JP5046506B2 (en)
TW (1) TWI443719B (en)
WO (1) WO2007046204A1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007116048A (en) * 2005-10-24 2007-05-10 Toshiba Corp Semiconductor device and manufacturing method therefor
WO2009001774A1 (en) * 2007-06-22 2008-12-31 Ulvac, Inc. Method for protecting semiconductor wafer and process for producing semiconductor device
JP2009010043A (en) * 2007-06-26 2009-01-15 Tokyo Electron Ltd Substrate processing method, substrate processor, and recording medium
JP2009088210A (en) * 2007-09-28 2009-04-23 Tokyo Electron Ltd Method of forming metal film and computer-readable recording medium
WO2011033903A1 (en) * 2009-09-15 2011-03-24 東京エレクトロン株式会社 Method for formation of metal silicide film
WO2011043263A1 (en) * 2009-10-09 2011-04-14 東京エレクトロン株式会社 Film-forming method and plasma processing apparatus
JP2011530169A (en) * 2008-07-31 2011-12-15 東京エレクトロン株式会社 High throughput processing system for chemical processing and heat treatment and operation method thereof
JP2016219788A (en) * 2015-05-20 2016-12-22 三星電子株式会社Samsung Electronics Co.,Ltd. Semiconductor element including metal-two dimensional material-semiconductor contact
JP2017168738A (en) * 2016-03-17 2017-09-21 東京エレクトロン株式会社 Method of cleaning substrate transport mechanism and substrate processing system
JP2018085379A (en) * 2016-11-21 2018-05-31 トヨタ自動車株式会社 Method for manufacturing semiconductor device
WO2020050090A1 (en) * 2018-09-05 2020-03-12 東京エレクトロン株式会社 Etching method and etching apparatus
JP2020128581A (en) * 2019-02-08 2020-08-27 東京エレクトロン株式会社 Substrate treatment method and film deposition system
JP2021015947A (en) * 2019-07-16 2021-02-12 東京エレクトロン株式会社 FORMING METHOD OF RuSi FILM AND SUBSTRATE PROCESSING SYSTEM
KR20220032953A (en) * 2020-09-08 2022-03-15 주식회사 유진테크 Substrate processing apparatus and operation method for substrate processing apparatus
WO2022270317A1 (en) * 2021-06-22 2022-12-29 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009123793A (en) * 2007-11-13 2009-06-04 Shimadzu Corp Cluster type vacuum treatment apparatus
KR20110093476A (en) * 2010-02-12 2011-08-18 삼성엘이디 주식회사 System for vapor phase deposition, manufaturing method of light emitting device and light emitting device
JP5933375B2 (en) * 2011-09-14 2016-06-08 株式会社日立国際電気 Cleaning method, semiconductor device manufacturing method, substrate processing apparatus, and program
JP6121348B2 (en) * 2014-02-28 2017-04-26 東京エレクトロン株式会社 Plating pretreatment method, storage medium, and plating treatment system
JP5947435B1 (en) * 2015-08-27 2016-07-06 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04296021A (en) * 1991-03-26 1992-10-20 Mitsubishi Electric Corp Surface treatment method for semiconductor substrate
JPH056880A (en) * 1991-06-27 1993-01-14 Toshiba Corp Surface treatment method
JPH1012723A (en) * 1996-06-18 1998-01-16 Sony Corp Semiconductor device and manufacture thereof
JPH11145078A (en) * 1997-11-05 1999-05-28 Nec Corp Manufacture of semiconductor device
JP2002016018A (en) * 2000-06-30 2002-01-18 Sumitomo Heavy Ind Ltd Device and method for treating substrate
JP2004343094A (en) * 2003-04-22 2004-12-02 Tokyo Electron Ltd Removing method and processing equipment for silicone oxide film
JP2005039185A (en) * 2003-06-24 2005-02-10 Tokyo Electron Ltd Work processing apparatus, work processing method therefor, pressure control method, work carrying method, and carrying apparatus
JP2005244244A (en) * 2004-02-26 2005-09-08 Applied Materials Inc In-situ dry clean chamber for front end of line production
JP2005248231A (en) * 2004-03-03 2005-09-15 Tokyo Electron Ltd Film deposition method

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04296021A (en) * 1991-03-26 1992-10-20 Mitsubishi Electric Corp Surface treatment method for semiconductor substrate
JPH056880A (en) * 1991-06-27 1993-01-14 Toshiba Corp Surface treatment method
JPH1012723A (en) * 1996-06-18 1998-01-16 Sony Corp Semiconductor device and manufacture thereof
JPH11145078A (en) * 1997-11-05 1999-05-28 Nec Corp Manufacture of semiconductor device
JP2002016018A (en) * 2000-06-30 2002-01-18 Sumitomo Heavy Ind Ltd Device and method for treating substrate
JP2004343094A (en) * 2003-04-22 2004-12-02 Tokyo Electron Ltd Removing method and processing equipment for silicone oxide film
JP2005039185A (en) * 2003-06-24 2005-02-10 Tokyo Electron Ltd Work processing apparatus, work processing method therefor, pressure control method, work carrying method, and carrying apparatus
JP2005244244A (en) * 2004-02-26 2005-09-08 Applied Materials Inc In-situ dry clean chamber for front end of line production
JP2005248231A (en) * 2004-03-03 2005-09-15 Tokyo Electron Ltd Film deposition method

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4703364B2 (en) * 2005-10-24 2011-06-15 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2007116048A (en) * 2005-10-24 2007-05-10 Toshiba Corp Semiconductor device and manufacturing method therefor
US7973419B2 (en) 2005-10-24 2011-07-05 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
WO2009001774A1 (en) * 2007-06-22 2008-12-31 Ulvac, Inc. Method for protecting semiconductor wafer and process for producing semiconductor device
JP5194008B2 (en) * 2007-06-22 2013-05-08 株式会社アルバック Method for protecting semiconductor wafer and method for manufacturing semiconductor device
JP2009010043A (en) * 2007-06-26 2009-01-15 Tokyo Electron Ltd Substrate processing method, substrate processor, and recording medium
JP2009088210A (en) * 2007-09-28 2009-04-23 Tokyo Electron Ltd Method of forming metal film and computer-readable recording medium
JP2011530169A (en) * 2008-07-31 2011-12-15 東京エレクトロン株式会社 High throughput processing system for chemical processing and heat treatment and operation method thereof
JP2011066060A (en) * 2009-09-15 2011-03-31 Tokyo Electron Ltd Forming method of metal silicide film
WO2011033903A1 (en) * 2009-09-15 2011-03-24 東京エレクトロン株式会社 Method for formation of metal silicide film
WO2011043263A1 (en) * 2009-10-09 2011-04-14 東京エレクトロン株式会社 Film-forming method and plasma processing apparatus
US10790356B2 (en) 2015-05-20 2020-09-29 Samsung Electronics Co., Ltd. Semiconductor device including metal-2 dimensional material-semiconductor contact
JP2016219788A (en) * 2015-05-20 2016-12-22 三星電子株式会社Samsung Electronics Co.,Ltd. Semiconductor element including metal-two dimensional material-semiconductor contact
US11342414B2 (en) 2015-05-20 2022-05-24 Samsung Electronics Co., Ltd. Semiconductor device including metal-2 dimensional material-semiconductor contact
JP2017168738A (en) * 2016-03-17 2017-09-21 東京エレクトロン株式会社 Method of cleaning substrate transport mechanism and substrate processing system
JP2018085379A (en) * 2016-11-21 2018-05-31 トヨタ自動車株式会社 Method for manufacturing semiconductor device
WO2020050090A1 (en) * 2018-09-05 2020-03-12 東京エレクトロン株式会社 Etching method and etching apparatus
JP2020128581A (en) * 2019-02-08 2020-08-27 東京エレクトロン株式会社 Substrate treatment method and film deposition system
JP7362258B2 (en) 2019-02-08 2023-10-17 東京エレクトロン株式会社 Substrate processing method and film formation system
JP2021015947A (en) * 2019-07-16 2021-02-12 東京エレクトロン株式会社 FORMING METHOD OF RuSi FILM AND SUBSTRATE PROCESSING SYSTEM
JP7296806B2 (en) 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi film forming method and substrate processing system
KR20220032953A (en) * 2020-09-08 2022-03-15 주식회사 유진테크 Substrate processing apparatus and operation method for substrate processing apparatus
KR102516340B1 (en) 2020-09-08 2023-03-31 주식회사 유진테크 Substrate processing apparatus and operation method for substrate processing apparatus
WO2022270317A1 (en) * 2021-06-22 2022-12-29 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
JP5046506B2 (en) 2012-10-10
WO2007046204A1 (en) 2007-04-26
TWI443719B (en) 2014-07-01
TW200733206A (en) 2007-09-01

Similar Documents

Publication Publication Date Title
JP5046506B2 (en) Substrate processing apparatus, substrate processing method, program, and recording medium recording program
JP5207615B2 (en) Film forming method and substrate processing apparatus
US7737005B2 (en) Method for forming Ti film and TiN film, contact structure, computer readable storing medium and computer program
TWI446404B (en) Method of manufacturing semiconductor device, cleaning method, and substrate processing apparatus
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
TW200915402A (en) Method and apparatus for cleaning a substrate surface
TW201027619A (en) Method and apparatus for trench and via profile modification
KR101678266B1 (en) Device for producing and method for producing semiconductor device
WO2011033903A1 (en) Method for formation of metal silicide film
TW201438074A (en) Method for forming copper wiring
WO2010087362A1 (en) Film formation method, and plasma film formation apparatus
KR101061633B1 (en) Metal film deposition method and computer readable recording medium
JP5526189B2 (en) Method for forming Cu film
KR101102739B1 (en) Film forming method, substrate processing apparatus, and semiconductor device
JP2010192467A (en) Method for deposition of workpiece and processing system
JP5004432B2 (en) Method for forming metal silicide film, pretreatment method, film forming system, control program, and computer storage medium
KR101941766B1 (en) Substrate processing method and recording medium
KR20130025832A (en) Nickel film forming method
JP2007077455A (en) Method for producing semiconductor device
WO2024070685A1 (en) Film forming method, film forming device, and film forming system
JP2008300436A (en) Forming method and processing system of barrier layer

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081003

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081003

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120228

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120529

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120629

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120717

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120717

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150727

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees