JP2006522476A - Vision system and method for calibrating a wafer carrying robot - Google Patents

Vision system and method for calibrating a wafer carrying robot Download PDF

Info

Publication number
JP2006522476A
JP2006522476A JP2006507009A JP2006507009A JP2006522476A JP 2006522476 A JP2006522476 A JP 2006522476A JP 2006507009 A JP2006507009 A JP 2006507009A JP 2006507009 A JP2006507009 A JP 2006507009A JP 2006522476 A JP2006522476 A JP 2006522476A
Authority
JP
Japan
Prior art keywords
camera
wafer
robot
image
calibration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006507009A
Other languages
Japanese (ja)
Other versions
JP2006522476A5 (en
JP4567667B2 (en
Inventor
サディジ,イラジュ
ハドゲンズ,ジェフ
ライス,マイケル
ワイカ,ゲリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006522476A publication Critical patent/JP2006522476A/en
Publication of JP2006522476A5 publication Critical patent/JP2006522476A5/ja
Application granted granted Critical
Publication of JP4567667B2 publication Critical patent/JP4567667B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1692Calibration of manipulator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Abstract

処理システムに配置されているロボットの運動を較正するためのビジョンシステムおよび方法が提供されている。一実施形態において、処理システム用のビジョンシステムは、処理システムにおいて位置決めされているカメラと較正ウェーハとを含んでいる。該カメラは該ロボット上に位置決めされており、該処理システム内の決められた場所に配置されている該較正ウェーハの画像データを得るように適合されている。該画像データを利用して、該ロボットの運動を較正する。A vision system and method for calibrating the motion of a robot located in a processing system is provided. In one embodiment, a vision system for a processing system includes a camera positioned in the processing system and a calibration wafer. The camera is positioned on the robot and is adapted to obtain image data of the calibration wafer located at a predetermined location within the processing system. The motion of the robot is calibrated using the image data.

Description

関連出願の相互参照Cross-reference of related applications

[0001]本出願は、2002年4月19日に出願された同時係属の米国出願第10/126,493号の一部継続出願であり、その全体を参照として本明細書に組み入れる。   [0001] This application is a continuation-in-part of co-pending US application Ser. No. 10 / 126,493, filed Apr. 19, 2002, which is incorporated herein by reference in its entirety.

開示の背景Disclosure background

発明の分野
[0002]本発明の実施形態は一般的に、ビジョンシステム、処理システムを検査するための方法および処理システム内のオブジェクトの位置を判断するための方法に関する。
Field of Invention
[0002] Embodiments of the present invention generally relate to a vision system, a method for inspecting a processing system, and a method for determining the position of an object in a processing system.

発明の背景
[0003]自動化処理システムでのロボットの使用はますます普及してきている。ロボットはしばしば、人的労力の使用によっては一般的に達成不可能な正確さと効率で反復タスクを実行することができる。さらに、ロボットは、移動中のコンポーネントや慎重を期する環境への接近について、このような場所での人的労力の使用が望ましくない場所で使用可能である。
Background of the Invention
[0003] The use of robots in automated processing systems is becoming increasingly popular. Robots can often perform repetitive tasks with accuracy and efficiency that is generally not achievable through the use of human effort. In addition, the robot can be used in places where the use of human labor in such places is undesirable for moving components and for careful access to the environment.

[0004]これは、基板の置き間違いや位置ずれがコスト的なダメージおよび/または予定外のシステムメンテナンスをもたらす半導体処理システムにおいてとりわけ重要である。ずれている基板はしばしばダメージを受け、他の基板や機器にダメージを与え、またはずれによってうまく処理されず、廃棄されてしまうこともある。例えば、半導体処理システムのロボットのエンドエフェクタ上に設置されている基板は、ロボットに固定されている基板の移動時にずれた基板に接触する可能性がある。基板が相互に接触すると、両基板のうちの一方がダメージを受けることがある。さらに、基板の一方または両方が取り除かれると、システムは、更なる処理が生じる前に基板の除去についてシャットダウンしなければならない。取り除かれた基板の検索は、真空下でシステム動作の内部部分にアクセスする必要があり、生産時間のうちの数時間は、被害チャンバ内の真空環境の汚染除去および再確立に費やされることになる。   [0004] This is particularly important in semiconductor processing systems where substrate misplacement or misalignment results in costly damage and / or unscheduled system maintenance. Misaligned substrates are often damaged, can damage other substrates and equipment, or can be discarded due to misalignment and discarded. For example, a substrate installed on an end effector of a robot in a semiconductor processing system may come into contact with a substrate that is displaced when the substrate fixed to the robot moves. When the substrates contact each other, one of the substrates can be damaged. Further, if one or both of the substrates are removed, the system must shut down for substrate removal before further processing occurs. Searching for removed substrates requires access to internal parts of the system operation under vacuum, and several hours of production time will be spent decontaminating and re-establishing the vacuum environment in the damage chamber .

[0005]ロボットが移動した基板の正確な位置決めを保証するために、ロボットのエンドエフェクタの所望または所定の位置の基準ポイントや座標は通常、較正手順の一部としてロボットコントローラのメモリに入力されている。基準座標を取得することは、一般的に、通常マニュアルまたは自動化シーケンスによって所定位置にエンドエフェクタをジョギングすることを伴う。ロボットのエンドエフェクタの所定位置への到着は、エンドエフェクタの位置をマニュアルで観察することによって、またはリミットスイッチなどのセンサをエンドエフェクタ(またはロボットの他のコンポーネント)にトリガさせることによって確認することができる。このシーケンスは通常、システム全体にわたるロボットの運動範囲内の臨界位置ごとの全基準座標が確立される(つまりロボットまたはロボットコントローラのメモリに入力される)まで反復される。基準座標が確立されると、ロボットは基準座標を参照することによって、エンドエフェクタを正確かつ的確に臨界位置に移動させることができる。   [0005] To ensure accurate positioning of the substrate on which the robot has moved, the reference point or coordinates of the desired or predetermined position of the robot's end effector are typically entered into the robot controller's memory as part of the calibration procedure. Yes. Obtaining the reference coordinates generally involves jogging the end effector in place, usually by manual or automated sequences. The arrival of the robot's end effector in place can be confirmed by manually observing the position of the end effector or by causing a sensor such as a limit switch to trigger the end effector (or other component of the robot). it can. This sequence is typically repeated until all reference coordinates for each critical position within the robot's range of motion throughout the system are established (ie, entered into the robot or robot controller's memory). Once the reference coordinates are established, the robot can accurately and accurately move the end effector to the critical position by referring to the reference coordinates.

[0006]多数の半導体処理システムにおいて、ロボットのエンドエフェクタのジョギングとエンドエフェクタの基準座標への到着の確認はマニュアルで行われる。オペレータは処理システム内のオブジェクトつまりターゲットに対するエンドエフェクタの場所を観察して、エンドエフェクタの位置を可視的に推定しなければならない。このタスクを実行する際にエンドエフェクタを適切に見るために、処理システムは通常周囲環境に対して開放されている。望ましくないが、このことは、人的被害やシステムダメージが生じうるロボットの運動範囲に暴露されている位置にオペレータを置くことになる。従って、オペレータに対して起こりうる被害を防ぐために、処理システムは正常にシャットダウンして、ロボットは不注意にオペレータと接触して、製品や、ツールやオペレータにダメージを与えないようにする。システムが周囲環境に暴露されているので、汚染除去手順は処理前に実行されなければならない。さらに、システムを動作圧に戻すために長期にわたるポンプダウンが実行されなければならない。システムがシャットダウンされている期間中、ウェーハは全く処理されず、貴重な生産時間が失われる。このことはすべて生産能力の望ましくない損失をもたらし、ひいては再較正が必要な場合は常に更なる能力の損失となる。   [0006] In many semiconductor processing systems, robot end effector jogging and confirmation of end effector arrival at reference coordinates is done manually. An operator must visually estimate the position of the end effector by observing the location of the end effector relative to the object or target in the processing system. In order to properly view the end effector when performing this task, the processing system is normally open to the surrounding environment. Although not desirable, this places the operator at a location that is exposed to the robot's range of motion where human and system damage can occur. Therefore, to prevent possible damage to the operator, the processing system shuts down normally and the robot inadvertently contacts the operator so as not to damage the product, tool or operator. Since the system is exposed to the surrounding environment, the decontamination procedure must be performed before processing. In addition, prolonged pump down must be performed to bring the system back to operating pressure. During the time the system is shut down, no wafers are processed and valuable production time is lost. This all results in an undesirable loss of production capacity, and thus a further loss of capacity whenever recalibration is required.

[0007]従って、オブジェクトの位置を判断するための改良された較正および方法に対する必要性が存在する。   [0007] Accordingly, there is a need for an improved calibration and method for determining the position of an object.

発明の概要Summary of the Invention

[0008]本発明の一態様は一般的に、処理システムに設置されているロボットの運動を較正するためのビジョンシステムおよび方法を提供する。一実施形態において、処理システム用のビジョンシステムは、処理システムに位置決めされているカメラと較正ウェーハとを含んでいる。カメラはロボット上に位置決めされており、処理システム内の決められた場所に設置されている較正ウェーハの画像データを得るように適合されている。画像データを利用してロボットの運動を較正する。   [0008] One aspect of the present invention generally provides a vision system and method for calibrating the motion of a robot installed in a processing system. In one embodiment, a vision system for a processing system includes a camera positioned on the processing system and a calibration wafer. The camera is positioned on the robot and is adapted to obtain image data of a calibration wafer installed at a predetermined location in the processing system. The robot motion is calibrated using image data.

詳細な説明Detailed description

[0009]簡潔に要約されている本発明のより特定的な説明が、添付の図面に示されている実施形態を参照してなされる。しかしながら、添付の図面は本発明の通常の実施形態のみを示しており、従ってその範囲を制限するものとはみなされないことに留意すべきであり、それゆえ本発明は他の等しく効果的な実施形態を許容することができる。   [0009] A more specific description of the invention, briefly summarized, will be made with reference to the embodiments illustrated in the accompanying drawings. However, it should be noted that the accompanying drawings show only typical embodiments of the invention and are therefore not to be considered as limiting its scope, and therefore the invention is not limited to other equally effective implementations. The form can be tolerated.

[0024]理解を助けるために、可能な限り、全図面に共通の同一要素を指定するために同一の参照番号が使用されている。   [0024] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to all figures.

[0025]本発明は一般的に、半導体処理システムおよび関連機器内で画像を取り込むためのビジョンシステムを提供する。ロボットのエンドエフェクタの位置を較正するために、かつシステム検査のために画像を使用することができる。本発明は、半導体処理システムやクラスタツール内のロボットのエンドエフェクタの位置を判断することを参照して以下に例示的に説明されている。しかしながら、本発明を利用して、外気(つまり周囲)環境にシステムを開放するする必要なく、半導体処理システム内の様々な検査および/または較正機能を実行することができる点が理解されるべきである。さらに、本発明は、化学機械的研磨システムおよび電気化学的堆積/研磨システムなどの他の半導体処理システム構成において実用性を有しており、ここではモバイルカメラから取得された画像が望ましい。   [0025] The present invention generally provides a vision system for capturing images within a semiconductor processing system and associated equipment. Images can be used to calibrate the position of the robot's end effector and for system inspection. The present invention is illustratively described below with reference to determining the position of the end effector of a robot within a semiconductor processing system or cluster tool. However, it should be understood that the present invention can be used to perform various inspection and / or calibration functions within a semiconductor processing system without having to open the system to the ambient (ie, ambient) environment. is there. Furthermore, the present invention has utility in other semiconductor processing system configurations such as chemical mechanical polishing systems and electrochemical deposition / polishing systems, where images acquired from a mobile camera are desirable.

[0026]図1は、処理システム190内の画像を取り込むために利用可能なビジョンシステム150を含む例示的処理システム190の一実施形態を描いている。ビジョンシステム150は一般的に、カメラアセンブリ100と、カメラアセンブリ100が見た画像を処理および/または表示するためのコントローラ140とを含んでいる。カメラアセンブリ100は、システム190の1つ以上の基板転送ロボットによってシステム190に転送されるように適合されている。従って、カメラアセンブリ100によってコントローラ140に供給された画像を利用して、システム190の内部を周囲環境に暴露する必要なく較正目的および/または視覚チャンバ検査用のロボットの位置を判断することができる。カメラアセンブリ100によって得られた画像はまた他の目的にも利用可能である。   FIG. 1 depicts one embodiment of an exemplary processing system 190 that includes a vision system 150 that can be used to capture images in the processing system 190. The vision system 150 generally includes a camera assembly 100 and a controller 140 for processing and / or displaying images viewed by the camera assembly 100. Camera assembly 100 is adapted to be transferred to system 190 by one or more substrate transfer robots of system 190. Thus, the image provided by the camera assembly 100 to the controller 140 can be used to determine the position of the robot for calibration purposes and / or visual chamber inspection without having to expose the interior of the system 190 to the surrounding environment. The images obtained by the camera assembly 100 can also be used for other purposes.

[0027]図1に描かれている例示的処理システム190は一般的に、複数の処理チャンバ192が結合されている中央転送チャンバ194を含んでいる。処理チャンバ192は半導体処理に関連した任意のタイプの処理チャンバであってもよく、これは数ある中でも化学気相成長チャンバ、原子層堆積チャンバ、物理気相成長チャンバ、方向チャンバ、脱ガスチャンバ、プレクリーンチャンバ、エッチングチャンバおよび熱処理チャンバを含むが、これらに制限されない。このような処理チャンバの例はカリフォルニア州サンタクララ(Santa Clara,California)にあるアプライドマテリアルズ社から入手可能であり、また、これもアプライドマテリアルズ社から入手可能な、例えば処理プラットフォームのPRODUCER(登録商標)、ENDURA(登録商標)およびCENTURA(登録商標)種という転送チャンバと共に利用可能である。   [0027] The exemplary processing system 190 depicted in FIG. 1 generally includes a central transfer chamber 194 having a plurality of processing chambers 192 coupled thereto. The processing chamber 192 may be any type of processing chamber associated with semiconductor processing, including, among others, a chemical vapor deposition chamber, an atomic layer deposition chamber, a physical vapor deposition chamber, a direction chamber, a degas chamber, Including but not limited to a pre-clean chamber, an etching chamber and a heat treatment chamber. An example of such a processing chamber is available from Applied Materials, Inc., located in Santa Clara, Calif., And is also available from Applied Materials, such as PRODUCER® (Trademark), ENDURA (R) and CENTURA (R) species are available with transfer chambers.

[0028]ポート188が、処理チャンバ192からの基板(およびカメラアセンブリ100)の出入りを許容するために各処理チャンバ192と転送チャンバ194との間で画成されている。ポート188は(明確にするために図1からは省略されている)スリットバルブによって選択的にシールされている。エンドエフェクタ198を有する転送ロボット196は、周囲の処理チャンバ192への基板(およびカメラアセンブリ100)の転送を容易にするために転送チャンバ104おいて中央に設置されている。利用可能な転送ロボットの一例は、これもまたApplied Materials,Inc.から入手可能なVHP(登録商標)ロボットである。他のロボットもまた使用可能である。   [0028] A port 188 is defined between each processing chamber 192 and transfer chamber 194 to allow entry and exit of the substrate (and camera assembly 100) from the processing chamber 192. Port 188 is selectively sealed by a slit valve (omitted from FIG. 1 for clarity). A transfer robot 196 having an end effector 198 is centrally located in the transfer chamber 104 to facilitate transfer of the substrate (and camera assembly 100) to the surrounding processing chamber 192. An example of a transfer robot that can be used is also available from Applied Materials, Inc. VHP (R) robot available from Other robots can also be used.

[0029]1つ以上のロードロックチャンバ184が転送チャンバ104とファクトリインタフェース180間で結合されている。2つのロードロックチャンバ184が図1に描かれている実施形態に示されている。ロードロックチャンバ184は、転送チャンバ194の真空環境とファクトリインタフェース180の実質的な外気環境との間の基板転送を容易にする。利用可能なロードロックチャンバの一例は、Rivkinらに2001年8月7日に発行された米国特許第6,270,582号に説明されており、その全体を参照として本明細書に組み入れる。   [0029] One or more load lock chambers 184 are coupled between the transfer chamber 104 and the factory interface 180. Two load lock chambers 184 are shown in the embodiment depicted in FIG. The load lock chamber 184 facilitates substrate transfer between the vacuum environment of the transfer chamber 194 and the substantially outside air environment of the factory interface 180. An example of a load lock chamber that can be used is described in US Pat. No. 6,270,582, issued Aug. 7, 2001 to Rivkin et al., Which is hereby incorporated by reference in its entirety.

[0030]ファクトリインタフェース180はインタフェースロボット182を有しており、基板保存カセット174を受け取るように適合されている複数のベイ178を含んでいる。各カセット174は複数の基板174を保存するように構成されている。ファクトリインタフェース180は一般的に大気圧で、またはこの付近で維持されている。一実施形態において、フィルタリングされた空気がファクトリインタフェース180に供給されて、ファクトリインタフェース内の粒子濃度と、ひいては基板清浄度を最小化する。本発明の利点を享受するように適合可能なファクトリインタフェースの一例は、Kroekerによって1998年9月28日に出願された米国特許出願第09/161,970号に説明されており、その全体を参照として本明細書に組み入れる。   [0030] The factory interface 180 has an interface robot 182 and includes a plurality of bays 178 adapted to receive a substrate storage cassette 174. Each cassette 174 is configured to store a plurality of substrates 174. The factory interface 180 is generally maintained at or near atmospheric pressure. In one embodiment, filtered air is provided to the factory interface 180 to minimize particle concentration in the factory interface and thus substrate cleanliness. An example of a factory interface that can be adapted to enjoy the benefits of the present invention is described in US patent application Ser. No. 09 / 161,970 filed Sep. 28, 1998 by Kroeker, see in its entirety. As incorporated herein.

[0031]インタフェースロボット182は一般的に上記の転送ロボット196に類似している。インタフェースロボット182は、転送ロボット196のエンドエフェクタ198に類似のエンドエフェクタを含んでおり、従って同じ参照番号で参照されている。インタフェースロボット182はカセット176とロードロックチャンバ184間で基板を転送するように適合されている。   [0031] The interface robot 182 is generally similar to the transfer robot 196 described above. The interface robot 182 includes an end effector similar to the end effector 198 of the transfer robot 196 and is therefore referenced with the same reference number. Interface robot 182 is adapted to transfer substrates between cassette 176 and load lock chamber 184.

[0032]ドッキングステーション172がファクトリインタフェース180に設置されている。ドッキングステーション172はシステム190内のカメラアセンブリ100に対して保存領域を提供しているため、カメラアセンブリ100がカセット174や他のアクセスポートを介してシステム190に導入される必要なく較正、再較正または検査手順が容易になる。あるいは、ドッキングステーション172はシステム190内の他の場所に設置されてもよい。別の実施形態において、カメラアセンブリ100をカセット174に保存して、システム190からの導入や除去を許容することができる。あるいは、カメラアセンブリ100は使用中でない場合はシステム190から除去可能である。ドッキングステーション172の一実施形態を図3A〜3Bを参照して以下にさらに説明する。   [0032] A docking station 172 is installed in the factory interface 180. The docking station 172 provides a storage area for the camera assembly 100 in the system 190 so that the camera assembly 100 can be calibrated, recalibrated, or not required to be introduced into the system 190 via a cassette 174 or other access port. The inspection procedure becomes easy. Alternatively, docking station 172 may be installed elsewhere in system 190. In another embodiment, the camera assembly 100 can be stored in the cassette 174 to allow introduction and removal from the system 190. Alternatively, the camera assembly 100 can be removed from the system 190 when not in use. One embodiment of the docking station 172 is further described below with reference to FIGS.

[0033]カメラアセンブリ100がロボット196および182によって輸送されるように適合されているために、エンドエフェクタ198の位置の較正は処理システム190内の任意の位置で得ることができる。例えば、カメラアセンブリ100を使用して、処理チャンバ192、転送チャンバ194またはロードロックチャンバ184のうちの任意の1つにおける転送ロボットの位置を較正して、基板を的確かつ反復可能に置くことを保証することができる。カメラアセンブリ100を使用して、基板保存カセット176、ロードロックチャンバ184またはドッキングステーション172のうちの任意の1つにおけるファクトリインタフェースロボット182のエンドエフェクタ198の位置を較正することができる。基板の的確な位置決めはプロセスの反復性を高めつつ、基板のずれに起因する基板および機器へのダメージを削減する。さらに、カメラアセンブリ100の移動性によって処理システム190の内部の較正および視覚的検査が、転送および処理チャンバ194および192内の真空の損失や人的被害の危険性なく可能になる。さらにまた、検査/較正が実行されつつ処理が継続可能であるために生産性が高められる。   [0033] Because the camera assembly 100 is adapted to be transported by the robots 196 and 182, calibration of the position of the end effector 198 can be obtained at any position within the processing system 190. For example, the camera assembly 100 is used to calibrate the position of the transfer robot in any one of the processing chamber 192, transfer chamber 194 or load lock chamber 184 to ensure that the substrate is placed accurately and repeatably. can do. The camera assembly 100 can be used to calibrate the position of the end effector 198 of the factory interface robot 182 in any one of the substrate storage cassette 176, the load lock chamber 184, or the docking station 172. Precise positioning of the substrate increases process repeatability while reducing damage to the substrate and equipment due to substrate misalignment. In addition, the mobility of the camera assembly 100 allows calibration and visual inspection of the interior of the processing system 190 without the risk of loss of transfer and human vacuum in the processing chambers 194 and 192. Furthermore, productivity is increased because processing can continue while inspection / calibration is performed.

[0034]カメラアセンブリ100は一般的に、配置プレート106上に設置されているカメラ104と、電源138と送信機156とを備える。カメラアセンブリ100は、システム190内の種々のスリットバルブおよびポートを介して転送可能な高さを有しているべきであり、またロボット196のエンドエフェクタ198を、その上に設置される際に過剰にたるませないように、基板と同様の重さを有しているべきである。   [0034] The camera assembly 100 generally includes a camera 104 mounted on a placement plate 106, a power source 138, and a transmitter 156. The camera assembly 100 should have a height that can be transferred through the various slit valves and ports in the system 190, and the end effector 198 of the robot 196 is overloaded when placed thereon. It should have the same weight as the substrate so that it does not sag.

[0035]配置プレート106は通常、アルミニウム、ステンレス鋼、プラスチックまたは他の剛性材料から構成される。カメラアセンブリ100が、例えば摂氏約350度以上の温度で化学気相成長を実行する処理チャンバ192において高温にさらされている実施形態において、配置プレート106は好ましくは、熱膨張係数が小さい非導電性材料から構成される。配置プレート106は一般的に、転送ロボット196のエンドエフェクタ198上にカメラ104をサポートするように構成されている。   [0035] Placement plate 106 is typically composed of aluminum, stainless steel, plastic or other rigid material. In embodiments where the camera assembly 100 is exposed to high temperatures, for example in a processing chamber 192 that performs chemical vapor deposition at a temperature of about 350 degrees Celsius or higher, the placement plate 106 is preferably non-conductive with a low coefficient of thermal expansion. Consists of materials. Placement plate 106 is generally configured to support camera 104 on end effector 198 of transfer robot 196.

[0036]配置プレート106は、転送中にロボットから取り除かれる可能性なくエンドエフェクタ198上でカメラ104をサポートするのに十分な任意の形状または幾何であってもよい。一実施形態において、配置プレート106の周辺の少なくとも一部は、従来の基板を折り返すように構成されている半径を有している(つまり、実質的にこれと同一である)。例えば、配置プレート106は、300mm、200mmまたは100mmのサイズの基板を折り返すために約150mm、約100mmまたは約50mmの半径を有する周辺の少なくとも一部を含むことができる。配置プレート106の代替構成は、多角形のフラットパネルを含む、他の標準的、従来的またはカスタムサイズの基板を折り返すことができる。   [0036] The placement plate 106 may be any shape or geometry sufficient to support the camera 104 on the end effector 198 without being removed from the robot during transfer. In one embodiment, at least a portion of the periphery of the placement plate 106 has a radius configured to fold back a conventional substrate (i.e., substantially the same). For example, the placement plate 106 can include at least a portion of the periphery having a radius of about 150 mm, about 100 mm, or about 50 mm to fold a 300 mm, 200 mm, or 100 mm size substrate. Alternative configurations of the placement plate 106 can fold other standard, conventional or custom sized substrates, including polygonal flat panels.

[0037]カメラ104は処理システム190内の画像を取り込むように適合されている。カメラ104は信号やビデオ画像を提供する。一実施形態において、カメラは、ニュージャージー州バリントン(Barrington,New Jersey)にあるEdmund Industrial Opticsから入手可能なモノクロ基板搭載カメラである。   [0037] The camera 104 is adapted to capture images within the processing system 190. The camera 104 provides signals and video images. In one embodiment, the camera is a monochrome board mounted camera available from Edmund Industrial Optics, Barrington, New Jersey.

[0038]電源138は一般的に、カメラ104と送信機156とに電力を提供する。電源138は設備電力のように遠隔にあってもよく、またバッテリのようにカメラアセンブリ100に内蔵されていてもよい。   [0038] The power source 138 typically provides power to the camera 104 and the transmitter 156. The power source 138 may be remote such as facility power, or may be built into the camera assembly 100 like a battery.

[0039]一実施形態において、電源138は真空環境での使用に適したバッテリである。好ましくは、電源138は摂氏約200度以上の温度での断続的な使用に適している。一電源138は、SouthWest Electronics Energy Corporationから入手可能なバッテリモデル番号3S1Pである。   [0039] In one embodiment, the power source 138 is a battery suitable for use in a vacuum environment. Preferably, the power source 138 is suitable for intermittent use at temperatures above about 200 degrees Celsius. One power supply 138 is a battery model number 3S1P available from SouthWest Electronics Energy Corporation.

[0040]送信機156は、カメラ104が見た画像を表す信号を生成する。送信機156は、ロボットやブロードキャスト信号(つまり無線信号)を介するコントロールワイヤを通してコントローラに信号を提供することができる。利用可能な一送信機はSupercircuitsから入手可能なMVT−10である。   [0040] The transmitter 156 generates a signal representing an image viewed by the camera 104. The transmitter 156 can provide signals to the controller through control wires via robots and broadcast signals (ie, wireless signals). One transmitter that can be used is MVT-10 available from Supercircuits.

[0041]コントローラ140は、カメラ104が見た画像を送信機156から受け取るように適合されている。コントローラ140は中央演算処理装置(CPU)144と、サポート回路146とメモリ142とを含んでいる。CPU144は、種々のチャンバおよびサブプロセッサをコントロールするためのインダストリアル設定で使用可能な任意の形態のコンピュータプロセッサのうちの1つであってもよい。メモリ142はCPU144に結合されている。メモリ142つまりコンピュータ読み取り可能な媒体は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク、もしくは他の形態のディジタル記憶装置などの1つ以上の容易に入手可能なメモリであってもよく、またはローカルであっても、リモートであってもよい。サポート回路146はCPU144に結合されておおり、従来の方法でプロセッサをサポートしている。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路およびサブシステムなどを含んでいる。   [0041] The controller 140 is adapted to receive an image viewed by the camera 104 from the transmitter 156. The controller 140 includes a central processing unit (CPU) 144, a support circuit 146, and a memory 142. The CPU 144 may be one of any form of computer processor that can be used in an industrial setting to control the various chambers and sub-processors. Memory 142 is coupled to CPU 144. The memory 142 or computer readable medium is one or more readily available memories such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or other form of digital storage. It may be local or remote. Support circuit 146 is coupled to CPU 144 and supports the processor in a conventional manner. These circuits include caches, power supplies, clock circuits, input / output circuits, subsystems, and the like.

[0042]受信機154はコントローラ140に結合されて、送信機156が発生した信号のコントローラ140への転送を容易にしている。利用可能な一受信機はSupercircuitsから入手可能なMVR−10である。場合により、モニタ148が、カメラ104からの画像を見るためにコントローラ140に結合されてもよい。   [0042] Receiver 154 is coupled to controller 140 to facilitate transfer of signals generated by transmitter 156 to controller 140. One receiver that can be used is the MVR-10 available from Supercircuits. In some cases, monitor 148 may be coupled to controller 140 for viewing images from camera 104.

[0043]図2Aは、配置プレート106の下に設置されているエンドエフェクタ198によってサポートされているカメラアセンブリ100の平面図を描いている。図2Aに描かれているように、配置プレート106は、配置プレート106とロボットのエンドエフェクタ198間の位置的的確さを高めるために、ロボットのエンドエフェクタ198に形成されているそれぞれのホール204とインタフェース接続する1つ以上の配置ピン202を含んでいる。ピン202はエンドエフェクタ198に面する配置プレート106の第1の側部206から延びているのに対して、プレート106の対向する第2の側部208は送信機156をサポートしている。   [0043] FIG. 2A depicts a top view of the camera assembly 100 supported by an end effector 198 located under the placement plate 106. FIG. As depicted in FIG. 2A, the placement plate 106 includes respective holes 204 formed in the robot end effector 198 to increase the positional accuracy between the placement plate 106 and the robot end effector 198. One or more placement pins 202 are included for interfacing. The pins 202 extend from the first side 206 of the deployment plate 106 facing the end effector 198, while the opposing second side 208 of the plate 106 supports the transmitter 156.

[0044]配置プレート106は、エンドエフェクタ198を介して形成されている対応する開口222と整列して設置されている開口210をさらに含んでいる。開口210は、カメラ104に対向するプレート106の第1の側部206上のオブジェクトを見ることを容易にするプレート106に形成されているホール、またはプレート106の透明部分であってもよい。図2Aに描かれている実施形態において、開口210によってカメラ104のレンズ216は、配置プレート106を介して第1の側部206の下の位置まで延びることができる。レンズ216は、カメラ104が見るオブジェクトの焦点が合うような被写界深度218を有するように選択されている。一実施形態において、レンズ216は4.7mmの焦点距離レンズであり、これもまたEdmund Industrial Opticsから入手可能である。   [0044] The placement plate 106 further includes an opening 210 that is positioned in alignment with a corresponding opening 222 formed through the end effector 198. The opening 210 may be a hole formed in the plate 106 that facilitates viewing an object on the first side 206 of the plate 106 facing the camera 104, or a transparent portion of the plate 106. In the embodiment depicted in FIG. 2A, the aperture 210 allows the lens 216 of the camera 104 to extend through the placement plate 106 to a position below the first side 206. The lens 216 is selected to have a depth of field 218 such that the object seen by the camera 104 is in focus. In one embodiment, lens 216 is a 4.7 mm focal length lens, also available from Edmund Industrial Optics.

[0045]図2Bに描かれているカメラアセンブリ100の別の実施形態において、開口210は、アクリル、石英またはポリカーボネートなどの透明材料のウィンドウ212で満たされている。あるいは、配置プレート106全体は透明材料から構成されてもよい。   [0045] In another embodiment of the camera assembly 100 depicted in FIG. 2B, the opening 210 is filled with a window 212 of transparent material such as acrylic, quartz or polycarbonate. Alternatively, the entire arrangement plate 106 may be made of a transparent material.

[0046]カメラ104は、レンズ216をウィンドウ212の上に位置決めするために、配置プレート106の第2の側部208上に位置決めされている。この位置において、カメラ104は、システム190内のエンドエフェクタ198の位置を表す画像を得るための開口210/ウィンドウ212を介して、図1に見られる基板サポート186などのオブジェクトを見ることができる。場合により、カメラ104は反対方向に面している配置プレート106に搭載されて、配置プレート106の第2の側部208上の画像を見ることができ、それによって処理システム190の上部領域は種々のチャンバ蓋を除去することなく検査可能である。   The camera 104 is positioned on the second side 208 of the placement plate 106 to position the lens 216 over the window 212. In this position, the camera 104 can see objects such as the substrate support 186 seen in FIG. 1 through the aperture 210 / window 212 to obtain an image representing the position of the end effector 198 in the system 190. In some cases, the camera 104 is mounted on a placement plate 106 facing in the opposite direction so that an image on the second side 208 of the placement plate 106 can be viewed, thereby varying the upper region of the processing system 190. Can be inspected without removing the chamber lid.

[0047]ウィンドウ212は、場合により目印214を含むことができる。目印214は、開口210およびウィンドウ212を介してカメラ104からの画像の基準つまり「十字線」を提供する。目印214は、円形、十字形、または基準ポイントの輪郭を描くのに適した他のマークであってもよい。目印214を利用して、画像が比較される基準を提供することができる。目印214が開口で利用されている実施形態において、レンズ216は、目印214を含む被写界深度218を提供するように選択されるべきである。   [0047] The window 212 may optionally include a landmark 214. The landmark 214 provides a reference or “crosshair” for the image from the camera 104 through the opening 210 and the window 212. The indicia 214 may be a circle, a cross, or other mark suitable for delineating a reference point. The landmark 214 can be utilized to provide a basis for comparing images. In embodiments where landmark 214 is utilized at the aperture, lens 216 should be selected to provide a depth of field 218 that includes landmark 214.

[0048]図2Aを再度参照すると、電源138によって電源投入されたライト220が配置プレート106に結合されて、プレート106の第1の側部206の下のオブジェクトを照らすことができる。ライト220は通常開口210の側部に位置決めされて、ライト220が発生したビームは開口210の下のオブジェクトつまり表面を照らすことができる。一実施形態において、ライト220は、(図2Cに描かれているエンドエフェクタ198の一実施形態の上面図に見られるように)配置プレート106のホール224を介して延びる発光ダイオードである。ライト220は、エンドエフェクタ198の下に延びて、エンドエフェクタ198の外側に設置されているか、またはエンドエフェクタ198に形成されているホール226を通過するように構成可能である。   [0048] Referring again to FIG. 2A, a light 220 powered by a power source 138 can be coupled to the placement plate 106 to illuminate an object under the first side 206 of the plate 106. FIG. The light 220 is normally positioned on the side of the aperture 210 so that the beam generated by the light 220 can illuminate the object or surface under the aperture 210. In one embodiment, light 220 is a light emitting diode that extends through hole 224 in placement plate 106 (as seen in the top view of one embodiment of end effector 198 depicted in FIG. 2C). The light 220 extends below the end effector 198 and can be configured to pass outside the end effector 198 or through a hole 226 formed in the end effector 198.

[0049]スイッチ240が、カメラアセンブリ100が起動可能なように配置プレート106に結合されている。スイッチ240はマニュアルのon/offスイッチであってもよく、またはコントローラや他の手段によって自動的にスイッチが入れられてもよい。一実施形態において、スイッチ240は、エンドエフェクタ198がカメラアセンブリ100の下に設置されている場合に配置プレート106の第1の側部206に対する、またはこの近くのエンドエフェクタ198の存在を検知する近接センサ、光学センサ、リミットスイッチまたは他のセンサ/スイッチである。これによってスイッチ240は、カメラアセンブリ100がロボットのエンドエフェクタ198によってサポートされている場合にライト220と、カメラ104と送信機156とを起動することができ、これによってバッテリ電力を節約することができる。   [0049] A switch 240 is coupled to the placement plate 106 so that the camera assembly 100 can be activated. The switch 240 may be a manual on / off switch, or may be automatically switched on by a controller or other means. In one embodiment, the switch 240 is a proximity that detects the presence of the end effector 198 relative to or near the first side 206 of the placement plate 106 when the end effector 198 is installed under the camera assembly 100. Sensor, optical sensor, limit switch or other sensor / switch. This allows switch 240 to activate light 220, camera 104, and transmitter 156 when camera assembly 100 is supported by robot end effector 198, thereby conserving battery power. .

[0050]図3A〜図3Bは、電源138のバッテリ寿命を延ばすように適合されているドッキングステーション172の一実施形態を描いている。ドッキングステーション172は、使用中でない場合はカメラアセンブリ1000をサポートするように適合されている一方で、一般的にクレードル302と充電機構320とを含んでいる。クレードル302は、その上のカメラアセンブリ100をサポートするように構成されている。クレードル302の幾何は配置プレート106用に選択された構成にかなり左右されるために、クレードル302はカメラアセンブリ100をしっかりと保持する多数のバリエーションで構成可能である一方で、インタフェースロボット182のエンドエフェクタ198が、カメラアセンブリ100を置いて、そこからこれを検索することができるようにする。   [0050] FIGS. 3A-3B depict one embodiment of a docking station 172 adapted to extend the battery life of the power source 138. FIG. Docking station 172 generally includes cradle 302 and charging mechanism 320 while being adapted to support camera assembly 1000 when not in use. The cradle 302 is configured to support the camera assembly 100 thereon. Because the geometry of the cradle 302 is highly dependent on the configuration selected for the placement plate 106, the cradle 302 can be configured with numerous variations that hold the camera assembly 100 securely, while the end effector of the interface robot 182. 198 places the camera assembly 100 so that it can be retrieved therefrom.

[0051]一実施形態において、クレードル302は、アルミニウム、ステンレス鋼またはポリマーなどの剛性材料から製作されており、また搭載部分304と、搭載部分304から張り出して延びているサポート部分306とを含んでいる。搭載部分304は複数のファスナ308によってファクトリインタフェース180に結合されている。   [0051] In one embodiment, the cradle 302 is made of a rigid material such as aluminum, stainless steel, or a polymer, and includes a mounting portion 304 and a support portion 306 that extends from the mounting portion 304. Yes. The mounting portion 304 is coupled to the factory interface 180 by a plurality of fasteners 308.

[0052]サポート部分306は、使用中でないカメラアセンブリ100をサポートするように間隔をあけて搭載部分304から延びている第1のアーム310と第2のアーム312とを含んでいる。アーム310および312は間隔をあけられており、ロボット182のエンドエフェクタ198がその間を通過できるようにし、それによってエンドエフェクタ198は、クレードル302に接触せずにカメラアセンブリ100を置いて、かつサポート部分306のアーム310および312からこれを検索することができる。   [0052] The support portion 306 includes a first arm 310 and a second arm 312 that extend from the mounting portion 304 to support a camera assembly 100 that is not in use. The arms 310 and 312 are spaced to allow the end effector 198 of the robot 182 to pass there between, so that the end effector 198 places the camera assembly 100 without contacting the cradle 302 and supports portions. This can be retrieved from 306 arms 310 and 312.

[0053]各アーム310、312は1対のサポートポスト314を含んでいる。各サポートポスト314は、カメラアセンブリ100をサポートするためのシート316と、シート316上にカメラアセンブリ100を保持するために、カメラアセンブリ100を止めるためのリップ318とを含んでいる。   [0053] Each arm 310, 312 includes a pair of support posts 314. Each support post 314 includes a seat 316 for supporting the camera assembly 100 and a lip 318 for stopping the camera assembly 100 to hold the camera assembly 100 on the seat 316.

[0054]場合により、クレードル302は充電機構320を含むことができる。充電機構320は、カメラアセンブリ100の電源138を再充電するように適合されている一方で、非使用時にはクレードル302上に保存されている。一実施形態において、充電機構320は、システム190の外側に設置されている充電器324に結合されている1対のコンタクトピン322を含んでいる。コンタクトピン322は、搭載部分304から延びているタブ328に、アクチュエータ326によって結合されている。   [0054] Optionally, the cradle 302 may include a charging mechanism 320. The charging mechanism 320 is adapted to recharge the power source 138 of the camera assembly 100 while being stored on the cradle 302 when not in use. In one embodiment, the charging mechanism 320 includes a pair of contact pins 322 that are coupled to a charger 324 located outside the system 190. Contact pin 322 is coupled by an actuator 326 to a tab 328 extending from mounting portion 304.

[0055]近接センサやリミットセンサなどのセンサ330が、カメラアセンブリ100の存在を検出するクレードル302に結合されている。カメラアセンブリ100が検出されると、アクチュエータ326は、カメラアセンブリ100上に設置されている1対の導電性コンタクトパッド322に接触しているコンタクトピン322を移動させる。コンタクトパッド322は電源138のそれぞれのポールに結合されているため、コンタクトピン322を介して電源138を充電器324に電気的に結合させて、非使用時に電源138を再充電する。電源138が完全に充電されると、つまりコントローラ140がロボット182に、カメラアセンブリ100の検索を命じると、アクチュエータ326はカメラアセンブリ100のないピン322を持ち上げて、ロボット182が、ピン322に接触せずにドッキングステーション172からカメラアセンブリ100を持ち上げることを可能にする。   [0055] A sensor 330, such as a proximity sensor or a limit sensor, is coupled to the cradle 302 that detects the presence of the camera assembly 100. When the camera assembly 100 is detected, the actuator 326 moves the contact pins 322 that are in contact with a pair of conductive contact pads 322 installed on the camera assembly 100. Since contact pad 322 is coupled to each pole of power source 138, power source 138 is electrically coupled to charger 324 via contact pin 322 to recharge power source 138 when not in use. When the power supply 138 is fully charged, that is, when the controller 140 commands the robot 182 to search for the camera assembly 100, the actuator 326 lifts the pin 322 without the camera assembly 100 so that the robot 182 contacts the pin 322. Without having to lift the camera assembly 100 from the docking station 172.

[0056]コントローラ140は電源138の充電をモニタするように構成可能であるために、充電は、電源138が所定の充電レベルに戻ると終了される。あるいは、ドッキングステーション172にローカルに搭載されている専用論理回路(図示せず)などの他の手段を利用して、コンタクトピン211の作動をコントロールするのに伴って、充電をコントロールおよび/またはモニタすることができる。   [0056] Since the controller 140 can be configured to monitor the charging of the power source 138, the charging is terminated when the power source 138 returns to a predetermined charge level. Alternatively, other means such as a dedicated logic circuit (not shown) locally mounted on the docking station 172 are utilized to control and / or monitor charging as the operation of the contact pin 211 is controlled. can do.

[0057]図1に戻ると、コントローラ140はカメラ104が見た画像情報を受け取る。画像情報はコントローラ140によって処理されて、エンドエフェクタの位置を判断し、かつ/または処理システムの部分を見たりすることができる。図1に描かれている実施形態において、コントローラ140は画像152、例えば処理チャンバ192の内の1つに設置されている基板サポート186の画像をモニタ148に表示して、オペレータが画像152を見やすいようにする。   [0057] Returning to FIG. 1, the controller 140 receives image information viewed by the camera 104. The image information can be processed by the controller 140 to determine the position of the end effector and / or view portions of the processing system. In the embodiment depicted in FIG. 1, the controller 140 displays an image 152, for example, an image of a substrate support 186 installed in one of the processing chambers 192, on the monitor 148 so that the operator can easily view the image 152. Like that.

[0058]一動作モードにおいて、モニタ148に表示された画像152を利用して、ロボット196をマニュアルでジョギングして、例えば基板サポート186に形成されている真空ポート上の決められた位置つまりターゲットにエンドエフェクタ198を置くことができ、この画像はポート画像170としてモニタ148に表示される。エンドエフェクタ198を移動させるのに必要な距離を容易にするために、ディスプレイ150はグリッド158を光学的に突出させる。グリッド158は、ターゲットの画像、例えばポート画像170と目印の画像160との間の距離が、ポート画像170と目印の画像160間のグリッドライン数を各軸に沿ってカウントすることによって解消されるように構成されている。   [0058] In one mode of operation, the image 152 displayed on the monitor 148 is used to manually jog the robot 196 to a predetermined position or target on a vacuum port formed in the substrate support 186, for example. An end effector 198 can be placed and this image is displayed on the monitor 148 as a port image 170. To facilitate the distance required to move the end effector 198, the display 150 causes the grid 158 to project optically. In the grid 158, the distance between the target image, for example, the port image 170 and the landmark image 160, is eliminated by counting the number of grid lines between the port image 170 and the landmark image 160 along each axis. It is configured as follows.

[0059]図4は、決められた位置にエンドエフェクタを置くロボットの基準座標を見つけるために利用可能な較正手順400の一実施形態を描いているフローチャートである。このような場所は、基板がシステム190のロボットによって置かれたり検索されたりする任意の位置を含んでいるが、これらに限定されない。手順400は、転送ロボット198のエンドエフェクタ198を処理チャンバ194のうちの1つの基板サポート186と整列させるものとして説明されているが、手順400を任意のシステムロボットの運動範囲内の他の場所で利用して、その場所でのロボットの位置を較正することができる。ステップ402において、カメラアセンブリ100は転送ロボット196のエンドエフェクタ198上に位置決めされる。このステップは、ロボット196から離れた場所からカメラアセンブリ100を転送することを含んでいる。ステップ404において、ロボット196は処理チャンバ192内の位置にX/Z平面においてジョギングされるために、基板サポート186の画像152はモニタ148に表示される。ステップ406において、ロボット196をX/Z平面においてマニュアルでジョギングして、目印214を、画像152つまりターゲット、例えばポート画像170の所定の部分と整列させる。ステップ408において、エンドエフェクタ198の整列された位置はX/Z平面における基準座標として記録される。   [0059] FIG. 4 is a flow chart depicting one embodiment of a calibration procedure 400 that can be used to find the reference coordinates of a robot that places an end effector in a determined position. Such locations include, but are not limited to, any location where a substrate is placed or retrieved by the robot of system 190. Although the procedure 400 is described as aligning the end effector 198 of the transfer robot 198 with the substrate support 186 of one of the processing chambers 194, the procedure 400 may be performed elsewhere within the range of motion of any system robot. This can be used to calibrate the position of the robot at that location. In step 402, the camera assembly 100 is positioned on the end effector 198 of the transfer robot 196. This step includes transferring the camera assembly 100 from a location remote from the robot 196. In step 404, the robot 196 is jogged to a position within the processing chamber 192 in the X / Z plane so that the image 152 of the substrate support 186 is displayed on the monitor 148. In step 406, the robot 196 is manually jogged in the X / Z plane to align the landmark 214 with the image 152, ie, a predetermined portion of the target, eg, the port image 170. In step 408, the aligned position of the end effector 198 is recorded as a reference coordinate in the X / Z plane.

[0060]ポート画像170と目印が整列されると、エンドエフェクタ198の仰角は、ロボット196のエンドエフェクタ198をステップ410でy軸に沿ってジョギングすることによって所定の位置に移動させられる。所定の位置への到着は、ステップ412で目印152とポート画像170の相対的サイズを比較することによって判断可能である。この比較は、ロボット196のエンドエフェクタ198が適切な仰角にある場合にターゲット(つまりポート画像170)のサイズおよび/または幾何と一致する目印212を利用して容易になる。ステップ414において、エンドエフェクタ198の仰角はy軸に沿った基準座標として記録される。   [0060] Once the port image 170 and the landmark are aligned, the elevation angle of the end effector 198 is moved to a predetermined position by jogging the end effector 198 of the robot 196 along the y-axis at step 410. Arrival at a predetermined location can be determined by comparing the relative sizes of the landmark 152 and the port image 170 at step 412. This comparison is facilitated by utilizing landmarks 212 that match the size and / or geometry of the target (ie, port image 170) when end effector 198 of robot 196 is at the proper elevation. In step 414, the elevation angle of the end effector 198 is recorded as a reference coordinate along the y-axis.

[0061]図5は、決められた位置にエンドエフェクタを置くロボット基準座標を見つけるために利用可能な較正手順500の別の実施形態を描いているフローチャートである。手順500は、転送ロボット198のエンドエフェクタ198を処理チャンバ194のうちの1つの基板サポート186と整列させるものとして説明されているが、手順500を任意のシステムロボットの運動範囲内の他の場所で利用して、その場所のロボットの位置を較正することができる。ステップ502において、カメラアセンブリ100は転送ロボット196のエンドエフェクタ198上に位置決めされる。ステップ504において、コントローラ140はロボット196を処理チャンバ192内の位置に向けるので、基板サポート186の画像152はカメラ104によって見られる。ステップ506において、コントローラ140は、カメラ104が見た画像104と、コントローラ140のメモリ142に記憶されている基準画像とを比較する。ステップ508において、コントローラ140は、ロボット196の現在位置と、X/Z平面の決められた位置との間の距離を解消し、それに応じてエンドエフェクタ198を移動させる。ロボット196のエンドエフェクタ198が、エンドエフェクタ198のX/Z基準座標がステップ510でコントローラ140によって記録されている決められた位置に到達するまで、ステップ506および508が反復される。   [0061] FIG. 5 is a flow chart depicting another embodiment of a calibration procedure 500 that can be used to find a robot reference coordinate that places an end effector in a predetermined position. Although the procedure 500 is described as aligning the end effector 198 of the transfer robot 198 with the substrate support 186 of one of the processing chambers 194, the procedure 500 can be performed elsewhere within the range of motion of any system robot. This can be used to calibrate the location of the robot at that location. In step 502, the camera assembly 100 is positioned on the end effector 198 of the transfer robot 196. In step 504, controller 140 directs robot 196 to a position within processing chamber 192 so that image 152 of substrate support 186 is viewed by camera 104. In step 506, the controller 140 compares the image 104 viewed by the camera 104 with a reference image stored in the memory 142 of the controller 140. In step 508, the controller 140 eliminates the distance between the current position of the robot 196 and the determined position of the X / Z plane and moves the end effector 198 accordingly. Steps 506 and 508 are repeated until the end effector 198 of the robot 196 reaches the determined position where the X / Z reference coordinates of the end effector 198 are recorded by the controller 140 at step 510.

[0062]エンドエフェクタ198のX/Z基準座標が得られると、エンドエフェクタ198の仰角は、ロボット196のエンドエフェクタ198をステップ512でy軸に沿って移動させることによって、所定の位置に移動させられる。所定の位置への到着は、ステップ514で、カメラ104が見た画像の相対的サイズを基準情報と比較することによって判断可能である。例えば、カメラ104の仰角は、ターゲット画像の多数の画素が所定数になるまで調整可能である。一代替例において、ターゲット画像の相対的サイズはカメラ104が見た目印212と比較されてもよい。ロボット196のエンドエフェクタ198が決められたY軸位置に到達すると、エンドエフェクタ198のY基準座標はステップ516でコントローラ140によって記録される。X、YおよびZ基準座標が、同時でもよいが、任意の順序で得られることが意図されている。   [0062] Once the X / Z reference coordinates of the end effector 198 are obtained, the elevation angle of the end effector 198 is moved to a predetermined position by moving the end effector 198 of the robot 196 along the y-axis in step 512. It is done. Arrival at a predetermined location can be determined at step 514 by comparing the relative size of the image viewed by the camera 104 with reference information. For example, the elevation angle of the camera 104 can be adjusted until the number of pixels in the target image reaches a predetermined number. In one alternative, the relative size of the target image may be compared to the landmark 212 as seen by the camera 104. When the end effector 198 of the robot 196 reaches the determined Y axis position, the Y reference coordinates of the end effector 198 are recorded by the controller 140 at step 516. The X, Y and Z reference coordinates may be simultaneous but are intended to be obtained in any order.

[0063]図6は、本発明が利用可能な別の方法600を描いている。ステップ602において、カメラアセンブリ100は転送ロボット196(またはシステム190の他のロボット)のエンドエフェクタ上に位置決めされる。ステップ604において、コントローラ140はロボット196に、カメラアセンブリ100を所定の位置に、かつ/またはシステム190を介する所定のルートに沿って移動させることを命じる。ステップ606において、画像はコントローラ140に送信される。ステップ608において、送信された画像はコントローラ140によって解釈される。例えば、画像は、システム190の内部の視覚的検査のためにモニタ148に表示されてもよい。あるいは、画像は、コントローラ140のメモリ142に記憶されている基準画像と比較されてもよい。画像はまた、他の目的、例えば販売や技術的デモンストレーションに利用されてもよい。   [0063] FIG. 6 depicts another method 600 in which the present invention may be utilized. In step 602, the camera assembly 100 is positioned on the end effector of the transfer robot 196 (or other robot in the system 190). In step 604, the controller 140 commands the robot 196 to move the camera assembly 100 to a predetermined position and / or along a predetermined route through the system 190. In step 606, the image is sent to the controller 140. In step 608, the transmitted image is interpreted by the controller 140. For example, the image may be displayed on monitor 148 for visual inspection inside system 190. Alternatively, the image may be compared with a reference image stored in the memory 142 of the controller 140. The images may also be used for other purposes such as sales and technical demonstrations.

[0064]図7は、処理システム750の画像を得るために利用可能なビジョンシステム700の別の実施形態を描いている。処理システム700は、図1を参照して説明されている処理システム190に実質的に類似しており、従って、その中に転送ロボット756を設置している転送チャンバ754に結合されている単一の処理チャンバ752のみが簡潔に示されている。   FIG. 7 depicts another embodiment of a vision system 700 that can be used to obtain an image of the processing system 750. The processing system 700 is substantially similar to the processing system 190 described with reference to FIG. 1, and is therefore a single unit coupled to a transfer chamber 754 having a transfer robot 756 installed therein. Only the processing chamber 752 is shown in a concise manner.

[0065]ビジョンシステム700は一般的に、コントローラ702と、カメラ704とリフレクタ706とを含んでいる。リフレクタ706は通常、カメラの視野外の画像がカメラ704によって見える方向でエンドエフェクタ758に結合されている。リフレクタ706はエンドエフェクタ758に固定、接着または他の方法で取り付けられてもよい。あるいは、リフレクタ706は、上記の配置プレート106に類似して構成されている配置プレート710に結合されてもよいため、リフレクタ706(および配置プレート)は使用中でない場合はエンドエフェクタから除去されてもよい。   [0065] The vision system 700 generally includes a controller 702, a camera 704, and a reflector 706. The reflector 706 is typically coupled to the end effector 758 in a direction in which images outside the camera's field of view are viewed by the camera 704. The reflector 706 may be fixed, glued or otherwise attached to the end effector 758. Alternatively, the reflector 706 may be coupled to a placement plate 710 configured similar to the placement plate 106 described above, so that the reflector 706 (and the placement plate) may be removed from the end effector when not in use. Good.

[0066]図7に描かれている実施形態において、リフレクタ706はエンドエフェクタ758の底側部720に結合されており、また反射表面708を含んでいる。反射表面708は、通常、光学的品質反射を提供する研磨ステンレス鋼や他の材料から製作されている。反射表面708は、カメラ704の視野に対して約45度に方向付けされている。従って、エンドエフェクタ758の下、およびカメラの視野の外側のオブジェクトの画像は、処理チャンバ752から離れて位置決めされているカメラ704によって取り込まれることが可能である。取り込まれた画像は上述の検査や較正に使用可能である。   [0066] In the embodiment depicted in FIG. 7, the reflector 706 is coupled to the bottom side 720 of the end effector 758 and includes a reflective surface 708. The reflective surface 708 is typically fabricated from polished stainless steel or other material that provides optical quality reflection. The reflective surface 708 is oriented at approximately 45 degrees with respect to the field of view of the camera 704. Thus, images of objects under the end effector 758 and outside the camera's field of view can be captured by the camera 704 positioned away from the processing chamber 752. The captured image can be used for the inspection and calibration described above.

[0067]リフレクタ706は、カメラ704が、反射表面708の角度方向を変更することによってシステム750内の決められた位置のオブジェクトを見ることができるように構成可能である。リフレクタ760は、エンドエフェクタ758の上、下またはこれに沿って画像を提供するように構成可能である。あるいは、リフレクタ706は、プリズム、レンズまたはカメラの視野の外側の画像を提供するように適合されている他の光学デバイスであってもよい。   [0067] The reflector 706 can be configured to allow the camera 704 to view an object at a determined location in the system 750 by changing the angular orientation of the reflective surface 708. The reflector 760 can be configured to provide an image above, below or along the end effector 758. Alternatively, the reflector 706 may be a prism, lens, or other optical device adapted to provide an image outside the field of view of the camera.

[0068]リフレクタ706はまた、リフレクタ706がエンドエフェクタ758に対して移動されて、多数のオブジェクトが固定視線であるカメラ704によって見られるような方法で配置プレートに結合されてもよい。コントロール可能な位置決めを有するリフレクタについて図11を参照して以下に説明する。   [0068] The reflector 706 may also be coupled to the placement plate in such a way that the reflector 706 is moved relative to the end effector 758 so that multiple objects are viewed by the camera 704 with a fixed line of sight. A reflector having controllable positioning will be described below with reference to FIG.

[0069]コントローラ702およびカメラ704は一般的に上記のコントローラ140およびカメラ104に類似している。カメラ704は通常、ロボット756のエンドエフェクタ758が処理チャンバ752に挿入される際に処理チャンバ752の外側にあるまま(例えば、転送チャンバ754にあるまま)の転送ロボット756の一部に搭載されている。処理チャンバ752に入らない位置にカメラ704を搭載することによって、カメラにダメージを与えうるより熱い環境でビジョンシステム700を容易に使用できる。従って、画像は冷却を待たずして熱い処理チャンバにおいて得られる。   [0069] Controller 702 and camera 704 are generally similar to controller 140 and camera 104 described above. The camera 704 is typically mounted on a portion of the transfer robot 756 that remains outside the process chamber 752 (eg, remains in the transfer chamber 754) when the end effector 758 of the robot 756 is inserted into the process chamber 752. Yes. By mounting the camera 704 in a position that does not enter the processing chamber 752, the vision system 700 can be easily used in a hotter environment that can damage the camera. Thus, the image is obtained in a hot processing chamber without waiting for cooling.

[0070]ファクトリインタフェースロボット、例えば図1に描かれているロボット182に結合されているビジョンシステム700の実施形態において、インタフェースロボットがアクセスした環境は一般的に、転送ロボットのエンドエフェクタが暴露されている環境よりも快適であるために、カメラ704はカメラの視野内にリフレクタ706を維持するインタフェースロボットの任意の部分に結合可能である。   [0070] In an embodiment of the vision system 700 coupled to a factory interface robot, eg, the robot 182 depicted in FIG. 1, the environment accessed by the interface robot is typically exposed to the end effector of the transfer robot. To be more comfortable than the environment in which it is, the camera 704 can be coupled to any part of the interface robot that maintains the reflector 706 within the camera's field of view.

[0071]一実施形態において、カメラ704は、転送ロボット756のリンク762にエンドエフェクタ758を結合させるリスト760に結合されている。あるいは、カメラ704はリンク762に結合されてもよく、または転送チャンバ760内に静的に位置決めされてもよい。カメラ704が転送ロボット756を介してシステム750に結合されていると、カメラ704はロボット756および転送チャンバ754を介してコントローラ702に組み込まれてもよいため、ローカル電源712および送信機714は必要ではない。あるいは、上記の電源138および送信機156に類似の電源および送信機は、ロボット756上の、またはシステム750の近くのカメラ704に結合可能である。   [0071] In one embodiment, camera 704 is coupled to a list 760 that couples end effector 758 to link 762 of transfer robot 756. Alternatively, camera 704 may be coupled to link 762 or statically positioned within transfer chamber 760. When camera 704 is coupled to system 750 via transfer robot 756, local power supply 712 and transmitter 714 are not required because camera 704 may be incorporated into controller 702 via robot 756 and transfer chamber 754. Absent. Alternatively, a power source and transmitter similar to the power source 138 and transmitter 156 described above can be coupled to the camera 704 on the robot 756 or near the system 750.

[0072]図8は、カメラアセンブリ800の別の実施形態の平面図である。カメラアセンブリ800は、カメラアセンブリ800のカメラ104がカメラアセンブリ800に移動可能に搭載されている点を除いて、上記のカメラアセンブリ100に類似している。カメラ104は、カメラ104をサポートしている配置プレート106に対してカメラ104の視線を変更することによって、ロボットつまりエンドエフェクタ(図示せず)を移動させることなくオブジェクトを見るようにすることができる。配置プレート104に対するカメラ104の移動は、ジンバルアセンブリ802によって容易になる。ジンバルアセンブリ802は、カメラ104の方向、例えば、ボールジョイント、ユニバーサルジョイント、または少なくとも一平面を介してカメラ104のビューを変更可能な他の機構を変更することができる任意のデバイスであってもよい。   [0072] FIG. 8 is a plan view of another embodiment of a camera assembly 800. FIG. Camera assembly 800 is similar to camera assembly 100 described above, except that camera 104 of camera assembly 800 is movably mounted on camera assembly 800. The camera 104 can view the object without moving the robot or end effector (not shown) by changing the line of sight of the camera 104 relative to the placement plate 106 that supports the camera 104. . Movement of camera 104 relative to placement plate 104 is facilitated by gimbal assembly 802. The gimbal assembly 802 may be any device that can change the orientation of the camera 104, eg, a ball joint, universal joint, or other mechanism that can change the view of the camera 104 through at least one plane. .

[0073]図8に描かれている実施形態において、ジンバルアセンブリ802は、ピボットアセンブリ806が結合されているターンテーブルアセンブリ804を含んでいる。ピボットアセンブリ806はカメラ104を搭載しており、配置プレート106に平行に設置されている軸808に対してカメラ104を回転させるように適合されている。ターンテーブルアセンブリ804は、軸808に対して垂直であり、かつ配置プレート106を介して設置されている開口210と同心の軸810を中心に回転するように適合されている。ターンテーブルアセンブリ804は軸810を中心にカメラ104を回転させるように適合されている。   [0073] In the embodiment depicted in FIG. 8, the gimbal assembly 802 includes a turntable assembly 804 to which a pivot assembly 806 is coupled. The pivot assembly 806 carries the camera 104 and is adapted to rotate the camera 104 relative to an axis 808 that is installed parallel to the placement plate 106. The turntable assembly 804 is adapted to rotate about an axis 810 that is perpendicular to the axis 808 and concentric with the opening 210 that is located through the placement plate 106. The turntable assembly 804 is adapted to rotate the camera 104 about the axis 810.

[0074]図9の断面図をさらに参照すると、ターンテーブルアセンブリ804は、ターンテーブル816を保持するレース814を含んでいる。ターンテーブル816は、ドライブモータ820と噛合している歯状周辺818を有している。ドライブモータ820は、ドライブモータ820にターンテーブル816の回転方向をコントロールするように命令するコントローラ140に結合されている。   [0074] With further reference to the cross-sectional view of FIG. 9, the turntable assembly 804 includes a race 814 that holds a turntable 816. The turntable 816 has a toothed periphery 818 that meshes with the drive motor 820. Drive motor 820 is coupled to controller 140 that instructs drive motor 820 to control the direction of rotation of turntable 816.

[0075]ターンテーブル816は周辺818に近接して結合されているタブ822を含んでいる。タブ822はホール824を有しており、少なくとも部分的に、配置プレート106に結合されているアクチュエータ826のピストン828とインタフェース接続するように適合されているホールを介して形成されている。ターンテーブル816が所定の角度方向にある場合、ピストン828を作動させてホール824を係合することによって、軸810を中心にターンテーブル816の位置をロックまたは固定することができる。   [0075] The turntable 816 includes a tab 822 that is coupled proximate to the periphery 818. Tab 822 has a hole 824 and is formed, at least in part, through a hole adapted to interface with piston 828 of actuator 826 that is coupled to placement plate 106. When the turntable 816 is in a predetermined angular direction, the position of the turntable 816 can be locked or fixed around the shaft 810 by operating the piston 828 to engage the hole 824.

[0076]ピボットアセンブリ806は、配置プレート106の開口210と整列しているターンテーブル816の中央に形成されている開口838にまたがる1対のブラケット830を有している。カメラ104は、軸808に沿って設置されているシャフト832によってブラケット830間で旋回可能にサポートされている。シャフト832の一端は、ターンテーブル816に結合されているドライブモータ836とインタフェース接続しているギア834を含んでいる。ドライブモータ836は、軸808を中心としてブラケット830に対するカメラ104の回転方向をコントロールするようにモータ836に命令するコントローラ140に結合されている。従って、ターンテーブルアセンブリ804およびピボットアセンブリ804は、画像を得ることができる上半球視野(UVOF)および下半球視野(LFOV)を有するようにカメラ104を方向付けすることができる。   [0076] The pivot assembly 806 has a pair of brackets 830 that span an opening 838 formed in the center of the turntable 816 that is aligned with the opening 210 of the placement plate 106. The camera 104 is supported so as to be rotatable between the brackets 830 by a shaft 832 installed along an axis 808. One end of the shaft 832 includes a gear 834 that interfaces with a drive motor 836 that is coupled to the turntable 816. Drive motor 836 is coupled to controller 140 that commands motor 836 to control the direction of rotation of camera 104 relative to bracket 830 about axis 808. Thus, the turntable assembly 804 and the pivot assembly 804 can direct the camera 104 to have an upper hemisphere field (UVOF) and a lower hemisphere field (LFOV) from which images can be obtained.

[0077]図10をさらに参照すると、ギア834は、少なくとも部分的にこれを介して形成されている少なくとも第1の配置ホール1002を含んでいる。ホール1002は、ターンテーブル816に結合されているアクチュエータ1006のピストン1004とインタフェース接続するように適合されている。ギア834が所定の角度方向にある場合、例えばカメラ104が、配置プレート106における開口210を介して軸810に沿って取り込んでいる(例えば、面している)場合、ピストン1004を作動してホール1002を係合することによって、軸808を中心にカメラ104の方向をロックまたは固定することができる。ホール1008は、ギア834のホール1002を通過してより固定的にギア834を保持した後、ピストン1004を受け取るようにブラケット830に提供可能である。あるいは(加えて)、第2のホール1010を、第1のホール1002に対して軸808を中心に180度回転した場所でギア834を介して少なくとも部分的に形成して、上方のビュー位置にカメラ104を方向付けすることができる。   [0077] With further reference to FIG. 10, the gear 834 includes at least a first placement hole 1002 formed at least partially therethrough. The hole 1002 is adapted to interface with the piston 1004 of the actuator 1006 that is coupled to the turntable 816. When the gear 834 is in a predetermined angular direction, for example, when the camera 104 is capturing along (eg, facing) the axis 810 via the opening 210 in the placement plate 106, the piston 1004 is actuated to activate the hole. By engaging 1002, the direction of the camera 104 can be locked or fixed about the axis 808. Hole 1008 can be provided to bracket 830 to receive piston 1004 after holding gear 834 more securely through hole 1002 of gear 834. Alternatively (in addition), the second hole 1010 may be formed at least partially via the gear 834 at a location rotated 180 degrees about the axis 808 with respect to the first hole 1002 to the upper viewing position. The camera 104 can be oriented.

[0078]一実施形態において、ジンバルアセンブリ802は、ピストン828および1004を作動させて、開口210を介して軸810に沿って見える方向にカメラ104を保持するようにロック可能である。このロック条件において、ロボットの位置較正は上記の方法によって的確に得られる。さらに、ロック解除位置において、カメラ104は、実質的にシステム全体から見えるように、ロボットが運動している場合および運動していない場合のいずれでも様々な方向にスイベルで回転することができ、これは好都合なことに、実質的に通常の処理経路の中断なく、かつ検査中のシステムの領域内の真空の損失なく、システムの検査に利用可能である。   [0078] In one embodiment, the gimbal assembly 802 is lockable to actuate the pistons 828 and 1004 to hold the camera 104 in a direction visible along the axis 810 through the opening 210. In this lock condition, the position calibration of the robot can be accurately obtained by the above method. Furthermore, in the unlocked position, the camera 104 can be swiveled in various directions, whether the robot is moving or not, so that it can be seen substantially from the whole system. Is advantageously available for system inspection without interruption of the normal processing path and without loss of vacuum in the area of the system under inspection.

[0079]図11は、図7を参照した上記のビジョンシステム700のリフレクタ704の代わりに利用可能なリフレクタアセンブリ1100を描いている。リフレクタアセンブリ1100は一般的に、リフレクタアセンブリ1100のジンバルアセンブリ802がリフレクタ1102の方向をコントロールすることを除いて、カメラアセンブリ800に類似している。従って、(図7に示されている)カメラ704は、矢印1106で描かれているようにカメラ104に対するリフレクタ1102の角度/方向を変更することによって、ロボットやエンドエフェクタ(図示せず)を移動させるカメラの視線外にあるリフレクタ1102で反射されたオブジェクトの画像を見ることができる。   [0079] FIG. 11 depicts a reflector assembly 1100 that can be used in place of the reflector 704 of the vision system 700 described above with reference to FIG. The reflector assembly 1100 is generally similar to the camera assembly 800 except that the gimbal assembly 802 of the reflector assembly 1100 controls the orientation of the reflector 1102. Accordingly, the camera 704 (shown in FIG. 7) moves a robot or end effector (not shown) by changing the angle / direction of the reflector 1102 relative to the camera 104 as depicted by arrow 1106. The image of the object reflected by the reflector 1102 outside the camera's line of sight can be seen.

[0080]図11に描かれている実施形態において、ジンバルアセンブリ802は配置プレート106上に設置されており、またピボットアセンブリ806が結合されているターンテーブルアセンブリ804を含んでいる。ピボットアセンブリ806は、これに搭載されているリフレクタ1102を有しており、配置プレート106に平行に設置されている軸808に対してリフレクタ1102を回転させるように適合されている。ターンテーブルアセンブリ804は、軸808に垂直な軸810を中心に回転するように適合されている。ターンテーブルアセンブリ804は軸810を中心にリフレクタ1102を回転させるように適合されている。ターンテーブルアセンブリ804とピボットアセンブリ806間の運動の組み合わせによってリフレクタ1102の反射表面1104は方向付けされるため、リフレクタ1102の方向がコントローラ140によって命令されるように位置決めされていると、カメラ704は配置プレート106の上、下およびこれに沿ったオブジェクトの画像を取り込むことができる。   [0080] In the embodiment depicted in FIG. 11, the gimbal assembly 802 is mounted on the placement plate 106 and includes a turntable assembly 804 to which a pivot assembly 806 is coupled. The pivot assembly 806 has a reflector 1102 mounted thereon and is adapted to rotate the reflector 1102 relative to an axis 808 that is installed parallel to the placement plate 106. The turntable assembly 804 is adapted to rotate about an axis 810 that is perpendicular to the axis 808. The turntable assembly 804 is adapted to rotate the reflector 1102 about the axis 810. The combination of movement between the turntable assembly 804 and the pivot assembly 806 directs the reflective surface 1104 of the reflector 1102 so that the camera 704 is positioned when the orientation of the reflector 1102 is positioned as commanded by the controller 140. Images of objects above, below and along the plate 106 can be captured.

[0081]図12は、補正データを得て、上記の方法に制限されないが、これを利用して得られた一次位置データの的確さを高めるために利用されている基板サポート186上に設置されている較正ウェーハ1200を有する処理システム190の部分的断面図である。基板サポート186などの別のオブジェクトに対するエンドエフェクタ198の位置に関する較正データが得られた後に、較正ウェーハ1200はロボット196のエンドエフェクタ198によって検索される。図12に描かれている実施形態において、カメラアセンブリ100、または画像データを得るための類似のデバイスが位置データの収集に利用される。一次データが得られたカメラアセンブリ100の位置はP1と称される。較正ウェーハ1200は、基板保存カセットのうちの1つにおいて、処理システム内にローカルに保存されてもよく、または必要に応じて処理システムに導入されてもよい。   [0081] FIG. 12 is provided on the substrate support 186 that is used to obtain correction data and is not limited to the above method, but is used to improve the accuracy of the primary position data obtained using this. FIG. 2 is a partial cross-sectional view of a processing system 190 having a calibration wafer 1200 that is in contact. After calibration data regarding the position of the end effector 198 relative to another object, such as the substrate support 186, is obtained, the calibration wafer 1200 is retrieved by the end effector 198 of the robot 196. In the embodiment depicted in FIG. 12, a camera assembly 100, or similar device for obtaining image data, is utilized for collecting position data. The position of the camera assembly 100 from which the primary data was obtained is referred to as P1. Calibration wafer 1200 may be stored locally in the processing system in one of the substrate storage cassettes, or may be introduced into the processing system as needed.

[0082]較正ウェーハ1200は通常、従来のウェーハのサイズおよび形状であり、石英、シリコン、ステンレス鋼または他の適切な材料から製作可能である。較正ウェーハ1200は、較正ウェーハ1200の下に位置決めされている基板サポート186や他のオブジェクトが較正ウェーハ1200を介して見えるように透明であってもよい。あるいは、較正ウェーハ1200は半透明または非透明であってもよい。   [0082] Calibration wafer 1200 is typically the size and shape of a conventional wafer and can be fabricated from quartz, silicon, stainless steel or other suitable material. The calibration wafer 1200 may be transparent so that a substrate support 186 or other object positioned under the calibration wafer 1200 is visible through the calibration wafer 1200. Alternatively, the calibration wafer 1200 may be translucent or non-transparent.

[0083]較正ウェーハ1200は、較正ウェーハ1200の、通常はウェーハの中央の基準ポイントを識別するための目印1202を含んでいる。目印1202は較正ウェーハ1200の表面に、記述、印刷、浮き彫り、エンボスまたはその他の方法でマーク可能である。目印はまた、ノッチ、フラット、ホール、スロット、周辺または他の幾何や視覚的特徴などの較正ウェーハ1200の物理的属性であってもよいことが意図されている。このように、普通の生産ウェーハもまた利用可能である。図12に描かれている実施形態において、較正ウェーハ1200は、較正ウェーハ1200の上部表面1204の中央に印刷された目印1202を含んでいる。   [0083] The calibration wafer 1200 includes indicia 1202 for identifying a reference point on the calibration wafer 1200, typically the center of the wafer. The indicia 1202 can be marked, printed, embossed, embossed or otherwise marked on the surface of the calibration wafer 1200. It is contemplated that the landmark may also be a physical attribute of the calibration wafer 1200, such as a notch, flat, hole, slot, perimeter or other geometric or visual feature. Thus, ordinary production wafers can also be used. In the embodiment depicted in FIG. 12, the calibration wafer 1200 includes a landmark 1202 printed in the center of the top surface 1204 of the calibration wafer 1200.

[0084]較正ウェーハ1200が基板サポート186に位置決めされた後に、カメラアセンブリ100はロボット196によって検索されて、較正ウェーハ1200がその上に据えられている基板サポート186上の位置P1に転送される。カメラアセンブリ100は、基板サポート186上の決められた位置に基板を置くために利用されている基準データの補正を判断するためにコントローラ140に提供されているデータを取り込み、送信する。   [0084] After the calibration wafer 1200 is positioned on the substrate support 186, the camera assembly 100 is retrieved by the robot 196 and transferred to a position P1 on the substrate support 186 on which the calibration wafer 1200 is mounted. The camera assembly 100 captures and transmits data provided to the controller 140 to determine the correction of the reference data being utilized to place the substrate at a predetermined position on the substrate support 186.

[0085]一動作モードにおいて、取り込まれたデータは、基板サポート画像152および較正ウェーハ画像1204としてモニタ148に表示される基板サポート186および較正ウェーハ1200の画像を含んでいる。オペレータは、目印1202の画像1206と、較正ウェーハ1200を介して見える基板サポート186の上部表面の中央にあるポート(図示せず)のポート画像170などの基準オブジェクトとのオフセットを見ることができる。オフセットから、オペレータは、較正ウェーハ1200(つまり生産ウェーハ)を基板サポート186の中央に置くために必要な一次データの位置的補正を判断することができる。あるいは、上述のように、コントローラ140は基板サポート186および較正ウェーハ1200の画像を比較して、較正ウェーハ1200つまり生産ウェーハを基板サポート186上の所定の(つまり中央)位置に的確に置くために必要なエンドエフェクタの位置決めに要する補正を判断する。較正ウェーハ1200を使用しつつ得られた位置的補正を利用して、初期のシステム較正ルーチンの一部として、または経時的に実行される再較正ルーチンの一部としてロボットの運動を補正することができる。   [0085] In one mode of operation, the captured data includes an image of the substrate support 186 and the calibration wafer 1200 displayed on the monitor 148 as a substrate support image 152 and a calibration wafer image 1204. The operator can see an offset between an image 1206 of the landmark 1202 and a reference object such as a port image 170 of a port (not shown) in the center of the top surface of the substrate support 186 that is visible through the calibration wafer 1200. From the offset, the operator can determine the positional correction of the primary data necessary to center the calibration wafer 1200 (ie production wafer) in the center of the substrate support 186. Alternatively, as described above, the controller 140 compares the image of the substrate support 186 and the calibration wafer 1200 and is required to accurately place the calibration wafer 1200 or production wafer at a predetermined (ie, center) position on the substrate support 186. A correction required for positioning the end effector is determined. The positional correction obtained while using the calibration wafer 1200 can be used to correct robot motion as part of an initial system calibration routine or as part of a recalibration routine performed over time. it can.

[0086]別の動作モードにおいて、取り込まれたデータは一次的には目印1202の画像1206である。目印1202の画像1206の場所はメモリに記憶されているポート画像170などの記憶されている基準データと視覚的またはディジタル的に比較可能であるために、基板を置くことに対する補正は、エンドエフェクタ198および基板サポート186間の更なる基板ハンドオフについて判断される。   [0086] In another mode of operation, the captured data is primarily an image 1206 of a landmark 1202. Because the location of the image 1206 of the landmark 1202 can be visually or digitally compared to stored reference data, such as the port image 170 stored in memory, the correction for placing the substrate is the end effector 198. And a further substrate handoff between the substrate support 186 is determined.

[0087]従って、半導体処理システム内の画像を取り込むのを容易にするビジョンシステムが提供されている。ビジョンシステムによって、較正および検査手順が、最小のオペレータ相互作用によって、かつシステム内部の大気環境への暴露なしに実行される。さらに、ビジョンシステムは、真空条件下で、かつ動作温度またはこの付近のin−situ検査および較正に提供されており、基板処理の中断なしに得られるロボットの位置のより的確な位置データを提供する。   [0087] Accordingly, a vision system is provided that facilitates capturing images within a semiconductor processing system. With the vision system, calibration and inspection procedures are performed with minimal operator interaction and without exposure to the atmospheric environment inside the system. Furthermore, the vision system is provided for in-situ inspection and calibration at or near operating temperature and provides more accurate position data of the robot position obtained without interruption of substrate processing. .

[0088]本発明の教示を組み込む種々の実施形態がここに詳細に示され、かつ説明されているが、当業者は、依然としてこれらの教示を含んでいる多数の他の様々な実施形態を容易に考案することができる。   [0088] Although various embodiments incorporating the teachings of the present invention have been shown and described in detail herein, those skilled in the art will readily appreciate numerous other various embodiments that still include these teachings. Can be devised.

クラスタツールおよびビジョンシステムの簡略平面図を描いている。A simplified plan view of the cluster tool and vision system is depicted. ロボットのエンドエフェクタ上に設置されているカメラアセンブリの一実施形態の正面図である。1 is a front view of one embodiment of a camera assembly installed on an end effector of a robot. FIG. ロボットのエンドエフェクタ上に設置されているカメラアセンブリの代替実施形態の正面図である。FIG. 6 is a front view of an alternative embodiment of a camera assembly installed on a robot end effector. エンドエフェクタの一実施形態の上面図である。It is a top view of one embodiment of an end effector. ドッキングステーションの一実施形態の上面図である。FIG. 6 is a top view of one embodiment of a docking station. ドッキングステーションの一実施形態の断面図である。2 is a cross-sectional view of one embodiment of a docking station. FIG. 図1のビジョンシステムの一動作モードのフローチャートを描いている。2 depicts a flowchart of one operating mode of the vision system of FIG. 図1のビジョンシステムの別の動作モードのフローチャートを描いている。3 depicts a flowchart of another mode of operation of the vision system of FIG. 図1はビジョンシステムの別の動作モードのフローチャートを描いている。FIG. 1 depicts a flowchart of another mode of operation of the vision system. ビジョンシステムの別の実施形態を描いている。Figure 3 depicts another embodiment of a vision system. ジンバルシステムを有するカメラアセンブリの一実施形態の平面図である。2 is a plan view of one embodiment of a camera assembly having a gimbal system. FIG. 図8の断面線9−9に沿ったジンバルシステムの断面図である。FIG. 9 is a cross-sectional view of the gimbal system taken along section line 9-9 of FIG. 図8の断面線10−10に沿ったジンバルシステムの断面図である。FIG. 10 is a cross-sectional view of the gimbal system taken along section line 10-10 of FIG. カメラアセンブリの別の実施形態の断面図である。FIG. 6 is a cross-sectional view of another embodiment of a camera assembly. ビジョンシステムの別の動作モードを示している図1の処理システムの部分的断面図である。FIG. 2 is a partial cross-sectional view of the processing system of FIG. 1 illustrating another mode of operation of the vision system.

符号の説明Explanation of symbols

100…カメラアセンブリ、104…カメラ、106…配置プレート、138…電源、140…コントローラ、142…メモリ、144…CPU、148…モニタ、150…ビジョンシステム、154…受信機、156…送信機、174…カセット、180…ファクトリインタフェース、182…インタフェースロボット、186…基板サポート、190…処理システム、192…処理チャンバ、194…転送チャンバ、196…転送ロボット、198…エンドエフェクタ、202…配置ピン、206…第1の側部、208…第2の側部、210…開口、212…ウィンドウ、214…目印、216…レンズ、220…ライト、222…開口、240…スイッチ、302…クレードル、304…搭載部分、306…サポート部分、310…第1のアーム、312…第2のアーム、316…シート、318…リップ、324…充電器、326…アクチュエータ、328…タブ、700…ビジョンシステム、702…コントローラ、704…カメラ、706…リフレクタ、708…反射表面、710…配置プレート、750…処理システム、752…処理チャンバ、754…転送チャンバ、756…転送ロボット、800…カメラアセンブリ、802…ジンバルアセンブリ、804…ターンテーブルアセンブリ、806…ピボットアセンブリ、808…軸、810…軸、820…モータドライブ、822…タブ、830…ブラケット、834…ギア、836…ドライブモータ、1002…ホール、1004…ピストン、1006…アクチュエータ、1102…リフレクタ、1104…反射表面、1200…較正ウェーハ、1202…目印。 DESCRIPTION OF SYMBOLS 100 ... Camera assembly, 104 ... Camera, 106 ... Arrangement plate, 138 ... Power supply, 140 ... Controller, 142 ... Memory, 144 ... CPU, 148 ... Monitor, 150 ... Vision system, 154 ... Receiver, 156 ... Transmitter, 174 ... cassette, 180 ... factory interface, 182 ... interface robot, 186 ... substrate support, 190 ... processing system, 192 ... processing chamber, 194 ... transfer chamber, 196 ... transfer robot, 198 ... end effector, 202 ... placement pin, 206 ... 1st side, 208 ... 2nd side, 210 ... opening, 212 ... window, 214 ... landmark, 216 ... lens, 220 ... light, 222 ... opening, 240 ... switch, 302 ... cradle, 304 ... mounting part 306 ... Support part 310 ... No. Arm, 312 ... second arm, 316 ... seat, 318 ... lip, 324 ... charger, 326 ... actuator, 328 ... tab, 700 ... vision system, 702 ... controller, 704 ... camera, 706 ... reflector, 708 ... Reflective surface, 710 ... Placement plate, 750 ... Processing system, 752 ... Processing chamber, 754 ... Transfer chamber, 756 ... Transfer robot, 800 ... Camera assembly, 802 ... Gimbal assembly, 804 ... Turntable assembly, 806 ... Pivot assembly, 808 ... shaft, 810 ... shaft, 820 ... motor drive, 822 ... tab, 830 ... bracket, 834 ... gear, 836 ... drive motor, 1002 ... hole, 1004 ... piston, 1006 ... actuator, 1102 ... reflector, 1104 ... anti Surface, 1200 ... calibration wafer, 1202 ... mark.

Claims (36)

半導体処理システムでの使用に適合されている少なくとも1つのロボットと、
前記処理システムでサポートされている較正ウェーハと、
前記ロボットに結合されているエンドエフェクタと、
前記ロボットによって選択的に位置決めされているカメラと、
前記カメラに結合されている送信機と、
前記カメラによって送信された前記較正ウェーハの画像を受け取るための受信機と、を備える半導体処理システム用のビジョンシステム。
At least one robot adapted for use in a semiconductor processing system;
Calibration wafers supported by the processing system;
An end effector coupled to the robot;
A camera selectively positioned by the robot;
A transmitter coupled to the camera;
A vision system for a semiconductor processing system, comprising: a receiver for receiving an image of the calibration wafer transmitted by the camera.
前記ロボットが真空チャンバに収容されている、請求項1に記載のシステム。   The system of claim 1, wherein the robot is housed in a vacuum chamber. 前記カメラを結合しているプレートをさらに備える、請求項1に記載のシステム。   The system of claim 1, further comprising a plate coupling the camera. 前記プレートがさらに、
それを介して形成されている開口と、
前記開口を介して画像を得るように適合されている前記カメラと、
を備える、請求項3に記載のシステム。
The plate further comprises:
An opening formed through it,
The camera adapted to obtain an image through the aperture;
The system of claim 3, comprising:
前記ロボットの前記エンドエフェクタがさらに、
前記カメラがそれを介して見ることができるように適合されている、前記エンドエフェクタを介して形成されているホールを備える、請求項3に記載のシステム。
The end effector of the robot further comprises:
The system of claim 3, comprising a hole formed through the end effector adapted to allow the camera to be viewed therethrough.
前記プレートがさらに、
前記エンドエフェクタに形成されているホールから延び、かつここで受け取られる少なくとも1つの配置ピンを備える、請求項4に記載のシステム。
The plate further comprises:
The system of claim 4, comprising at least one locating pin extending from and received by a hole formed in the end effector.
前記較正ウェーハが透明である、請求項1に記載のシステム。   The system of claim 1, wherein the calibration wafer is transparent. 前記較正ウェーハがさらに、前記較正ウェーハの位置を判断するための目印を備える、請求項1に記載のシステム。   The system of claim 1, wherein the calibration wafer further comprises a landmark for determining a position of the calibration wafer. 前記目印が、前記較正ウェーハの表面の少なくとも1箇所に記述、印刷、浮き彫り、エンボスまたはマークされている、請求項8に記載のシステム。   9. The system of claim 8, wherein the landmark is described, printed, embossed, embossed or marked on at least one surface of the calibration wafer. 前記目印が物理的属性である、請求項8に記載のシステム。   The system of claim 8, wherein the landmark is a physical attribute. 前記目印の前記物理的属性が、幾何または視覚的特徴のうちの少なくとも1つである、請求項10に記載のシステム。   The system of claim 10, wherein the physical attribute of the landmark is at least one of geometric or visual features. 前記目印の前記物理的特徴が、前記較正ウェーハのノッチ、フラット、ホール、スロットおよび周辺のうちの少なくとも1つである、請求項10に記載のシステム。   The system of claim 10, wherein the physical feature of the landmark is at least one of a notch, a flat, a hole, a slot, and a perimeter of the calibration wafer. 半導体処理システムに設置されているロボットの運動を較正するための方法であって、
半導体処理システムに較正ウェーハを位置決めするステップと、
カメラをロボット上に位置決めするステップと、
前記カメラで前記較正ウェーハを見るステップと、
前記較正ウェーハの画像と決められた位置との間の相対的距離を判断するステップと、
を備える方法。
A method for calibrating the motion of a robot installed in a semiconductor processing system, comprising:
Positioning a calibration wafer in a semiconductor processing system;
Positioning the camera on the robot;
Viewing the calibration wafer with the camera;
Determining a relative distance between an image of the calibration wafer and a determined position;
A method comprising:
前記判断するステップがさらに、
前記較正ウェーハの前記画像をモニタに表示されている目印と比較する工程を備える、請求項13に記載の方法。
The step of determining further comprises:
The method of claim 13, comprising comparing the image of the calibration wafer with a landmark displayed on a monitor.
前記判断するステップがさらに、
前記較正ウェーハの前記画像を前記コントローラに記憶されている基準画像と比較する工程を備える、請求項13に記載の方法。
The step of determining further comprises:
The method of claim 13, comprising comparing the image of the calibration wafer with a reference image stored in the controller.
半導体処理システムに設置されているロボットの運動を較正するための方法であって、
ロボットによってサポートされている較正ウェーハを、半導体処理システム内の基準位置に移動させるステップと、
前記較正ウェーハを見て、ウェーハの位置データを得るステップと、
前記ウェーハの位置データを利用して前記基準位置を補正するステップと、
を備える方法。
A method for calibrating the motion of a robot installed in a semiconductor processing system, comprising:
Moving a calibration wafer supported by a robot to a reference position in a semiconductor processing system;
Viewing the calibration wafer to obtain wafer position data;
Correcting the reference position using position data of the wafer;
A method comprising:
前記ウェーハの位置データを利用する前記ステップがさらに、
前記較正ウェーハの画像をモニタに表示する工程と、
前記較正ウェーハの前記画像を前記モニタに表示されている基準画像と比較する工程と、
補正距離を判断する工程と、
を備える、請求項16に記載の方法。
The step of utilizing the wafer position data further comprises:
Displaying an image of the calibration wafer on a monitor;
Comparing the image of the calibration wafer with a reference image displayed on the monitor;
Determining a correction distance;
The method of claim 16 comprising:
前記ウェーハの位置データを利用する前記ステップがさらに、
前記較正ウェーハの画像データを基準データと比較する工程と、
補正距離を判断する工程と、
を備える、請求項16に記載の方法。
The step of utilizing the wafer position data further comprises:
Comparing image data of the calibration wafer with reference data;
Determining a correction distance;
The method of claim 16 comprising:
前記較正ウェーハを見る前記ステップがさらに、
カメラを前記半導体処理システムに通過させる工程を備える、請求項16に記載の方法。
The step of viewing the calibration wafer further comprises:
The method of claim 16, comprising passing a camera through the semiconductor processing system.
前記較正ウェーハを見る前記ステップがさらに、
ロボットのエンドエフェクタ上にサポートされているプレートに搭載されているカメラを前記半導体処理システムを介して移動させる工程を備える、請求項16に記載の方法。
The step of viewing the calibration wafer further comprises:
The method of claim 16, comprising moving a camera mounted on a plate supported on an end effector of a robot through the semiconductor processing system.
前記較正ウェーハを見てウェーハの位置データを得る前記ステップがさらに、
前記較正ウェーハをサポートしている表面を前記較正ウェーハを介して見る工程を備える、請求項19に記載の方法。
The step of looking at the calibration wafer to obtain wafer position data further comprises:
The method of claim 19, comprising viewing a surface supporting the calibration wafer through the calibration wafer.
前記較正ウェーハを見てウェーハの位置データを得る前記ステップがさらに、
前記較正ウェーハの位置のインジケータを見る工程を備える、請求項19に記載の方法。
The step of looking at the calibration wafer to obtain wafer position data further comprises:
20. The method of claim 19, comprising viewing an indicator of the calibration wafer position.
前記較正ウェーハの位置の前記インジケータを見る前記ステップがさらに、
前記較正ウェーハの幾何または視覚的特徴のうちの少なくとも1つを識別する工程を備える、請求項22に記載の方法。
Viewing the indicator of the position of the calibration wafer further comprises:
23. The method of claim 22, comprising identifying at least one of geometric or visual features of the calibration wafer.
半導体処理システムに設置されているロボットの運動を較正するための方法であって、
ロボット上に設置されているカメラを半導体処理システム内の決められた位置に移動させるステップと、
前記カメラによって基板サポートの1つ以上の画像を取り込むステップと、
前記ロボットによって利用される基準運動を判断して、前記取り込まれた画像から前記基板サポートに基板を転送するステップと、
前記基準運動を使用して前記基板サポートにウェーハを転送するステップと、
前記基板サポート上に設置されている前記ウェーハを前記カメラによって見るステップと、
ウェーハの1つ以上の画像を前記カメラによって取り込むステップと、
前記ロボットによって利用される補正基準運動を判断して、前記基板サポート上の前記基板を所定の位置に置くステップと、
を備える方法。
A method for calibrating the motion of a robot installed in a semiconductor processing system, comprising:
Moving a camera installed on the robot to a predetermined position in the semiconductor processing system;
Capturing one or more images of a substrate support by the camera;
Determining a reference motion utilized by the robot and transferring a substrate from the captured image to the substrate support;
Transferring the wafer to the substrate support using the reference motion;
Viewing with the camera the wafer mounted on the substrate support;
Capturing one or more images of a wafer by the camera;
Determining a corrected reference motion utilized by the robot and placing the substrate on the substrate support in place;
A method comprising:
前記ウェーハの1つ以上の画像を前記カメラによって取り込む前記ステップがさらに、
前記ウェーハを介して見た前記基板サポートの1つ以上の画像を取り込む工程を備える、請求項24に記載の方法。
The step of capturing one or more images of the wafer by the camera;
The method of claim 24, comprising capturing one or more images of the substrate support viewed through the wafer.
前記ウェーハの1つ以上の画像を前記カメラによって取り込む前記ステップがさらに、
ウェーハ位置のインジケータの1つ以上の画像を取り込む工程を備える、請求項25に記載の方法。
The step of capturing one or more images of the wafer by the camera;
26. The method of claim 25, comprising capturing one or more images of a wafer position indicator.
ウェーハ位置の前記インジケータの1つ以上の画像を取り込む前記ステップがさらに、
前記較正ウェーハの幾何または視覚的特徴のうちの少なくとも1つを識別する工程を備える、請求項26に記載の方法。
Capturing the one or more images of the indicator of wafer position further comprises:
27. The method of claim 26, comprising identifying at least one of geometric or visual features of the calibration wafer.
前記ロボット上に設置されている前記カメラを移動させる前記ステップがさらに、
前記カメラを真空環境に暴露する工程を備える、請求項24に記載の方法。
The step of moving the camera installed on the robot further comprises:
25. The method of claim 24, comprising exposing the camera to a vacuum environment.
前記カメラによって取り込まれた画像を送信するステップと、
前記取り込まれた画像を遠隔的に受け取るステップと、
をさらに備える、請求項24に記載の方法。
Transmitting an image captured by the camera;
Remotely receiving the captured image;
25. The method of claim 24, further comprising:
半導体処理システムに設置されているロボットの運動を較正するための方法であって、
保存されているロボットの運動ルーチンを利用して半導体処理システムにウェーハを位置決めするステップと、
前記ウェーハをカメラで見るステップと、
前記ウェーハの画像データを利用して前記保存されているロボットの運動ルーチンを更新するステップと、
を備える方法。
A method for calibrating the motion of a robot installed in a semiconductor processing system, comprising:
Positioning the wafer in the semiconductor processing system using a stored robot motion routine;
Viewing the wafer with a camera;
Updating the stored robot motion routine using the wafer image data;
A method comprising:
前記半導体処理システムの真空環境内の前記カメラを移動させるステップをさらに備える、請求項30に記載の方法。   32. The method of claim 30, further comprising moving the camera within a vacuum environment of the semiconductor processing system. 前記カメラを移動させる前記ステップがさらに、
前記カメラをロボットのエンドエフェクタ上にサポートする工程を備える、請求項31に記載の方法。
The step of moving the camera further comprises:
32. The method of claim 31, comprising supporting the camera on a robot end effector.
前記カメラによって取り込まれた画像を送信するステップと、
前記取り込まれた画像を遠隔的に受け取るステップと、をさらに備える、請求項30に記載の方法。
Transmitting an image captured by the camera;
31. The method of claim 30, further comprising remotely receiving the captured image.
前記ウェーハを前記カメラで見る前記ステップがさらに、
前記ウェーハを介して見た1つ以上の画像を取り込む工程を備える、請求項30に記載の方法。
The step of viewing the wafer with the camera further comprises:
The method of claim 30, comprising capturing one or more images viewed through the wafer.
前記ウェーハを前記カメラで見る前記ステップがさらに、
ウェーハ位置のインジケータの1つ以上の画像を取り込む工程を備える、請求項30に記載の方法。
The step of viewing the wafer with the camera further comprises:
31. The method of claim 30, comprising capturing one or more images of a wafer position indicator.
ウェーハ位置の前記インジケータの1つ以上の画像を取り込む前記ステップがさらに、
前記較正ウェーハの幾何または視覚的特徴のうちの少なくとも1つを識別する工程を備える、請求項35に記載の方法。
Capturing the one or more images of the indicator of wafer position further comprises:
36. The method of claim 35, comprising identifying at least one of geometric or visual features of the calibration wafer.
JP2006507009A 2003-03-11 2004-03-09 Method for calibrating a wafer carrying robot Expired - Lifetime JP4567667B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/387,267 US7233841B2 (en) 2002-04-19 2003-03-11 Vision system
PCT/US2004/007202 WO2004082014A1 (en) 2003-03-11 2004-03-09 Vision system and method for calibrating a wafer carrying robot

Publications (3)

Publication Number Publication Date
JP2006522476A true JP2006522476A (en) 2006-09-28
JP2006522476A5 JP2006522476A5 (en) 2010-08-12
JP4567667B2 JP4567667B2 (en) 2010-10-20

Family

ID=32987331

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006507009A Expired - Lifetime JP4567667B2 (en) 2003-03-11 2004-03-09 Method for calibrating a wafer carrying robot

Country Status (7)

Country Link
US (2) US7233841B2 (en)
EP (1) EP1606837B1 (en)
JP (1) JP4567667B2 (en)
KR (2) KR101163237B1 (en)
CN (1) CN1759478B (en)
TW (1) TWI295830B (en)
WO (1) WO2004082014A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009253291A (en) * 2008-04-09 2009-10-29 Asml Holding Nv Robot position calibration tool (rpct)
JP2014050940A (en) * 2012-09-10 2014-03-20 Toyota Motor Corp Robot hand and transfer device
JP2016120566A (en) * 2014-12-25 2016-07-07 株式会社キーエンス Image processing apparatus, image processing system, image processing method, and computer program
US10585362B2 (en) 2017-08-08 2020-03-10 SCREEN Holdings Co., Ltd. Substrate processing apparatus, alignment device, substrate processing method and alignment method
JP2021521651A (en) * 2018-04-24 2021-08-26 サイバーオプティクス コーポレーション Wireless substrate-like teaching sensor for semiconductor processing
US11231651B2 (en) 2017-04-13 2022-01-25 SCREEN Holdings Co., Ltd. Peripheral processing apparatus and peripheral processing method

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7505832B2 (en) * 2003-05-12 2009-03-17 Applied Materials, Inc. Method and apparatus for determining a substrate exchange position in a processing system
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US8190292B2 (en) * 2005-08-29 2012-05-29 The Board Of Trustees Of The Leland Stanford Junior University High frequency feedback in telerobotics
US7222431B1 (en) * 2006-02-03 2007-05-29 Gilson, Inc. Alignment correction system and methods of use thereof
JP4823752B2 (en) * 2006-04-21 2011-11-24 株式会社タイテック Molded product take-out machine
US20070276539A1 (en) * 2006-05-25 2007-11-29 Babak Habibi System and method of robotically engaging an object
WO2008036354A1 (en) 2006-09-19 2008-03-27 Braintech Canada, Inc. System and method of determining object pose
CN100410026C (en) * 2006-10-17 2008-08-13 大连理工大学 Purifying robot
WO2008076942A1 (en) * 2006-12-15 2008-06-26 Braintech Canada, Inc. System and method of identifying objects
JP5064835B2 (en) * 2007-02-28 2012-10-31 株式会社アルバック Substrate transfer device
DE102007009851B3 (en) * 2007-02-28 2008-05-29 Kuka Roboter Gmbh Industrial robot's position determining method, involves determining position of robot relative to object based on assigned model points, and determining position of camera assigned to image and position of camera relative to robot
KR100865720B1 (en) * 2007-03-16 2008-10-29 세메스 주식회사 Apparatus and method for treating substrate
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US7957583B2 (en) * 2007-08-02 2011-06-07 Roboticvisiontech Llc System and method of three-dimensional pose estimation
US8260461B2 (en) * 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
US8224607B2 (en) * 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
US8554371B2 (en) * 2007-10-01 2013-10-08 Kaufman Engineered Systems Vision aided case/bulk palletizer system
CN101911277B (en) * 2007-12-27 2012-04-04 朗姆研究公司 Arrangements and methods for determining positions and offsets
JP5417343B2 (en) * 2007-12-27 2014-02-12 ラム リサーチ コーポレーション System and method for calibrating an end effector alignment using at least one light source
SG187402A1 (en) * 2007-12-27 2013-02-28 Lam Res Corp Systems and methods for calibrating end effector alignment in a plasma processing system
US9269529B2 (en) * 2007-12-27 2016-02-23 Lam Research Corporation Systems and methods for dynamic alignment beam calibration
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
FR2934083B1 (en) * 2008-07-17 2010-09-10 St Microelectronics Rousset METHOD AND DEVICE FOR ADJUSTING THE DEPOSITION POSITION OF A SEMICONDUCTOR WAFER IN AN OVEN
CN101637908B (en) * 2008-07-29 2010-11-03 上海发那科机器人有限公司 Visual positioning method for robot transport operation
US8180487B1 (en) 2008-09-30 2012-05-15 Western Digital Technologies, Inc. Calibrated vision based robotic system
US8559699B2 (en) * 2008-10-10 2013-10-15 Roboticvisiontech Llc Methods and apparatus to facilitate operations in image based systems
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
CN101769475B (en) * 2008-12-31 2013-04-24 鸿富锦精密工业(深圳)有限公司 Image measuring apparatus light source and automatic positioning system using same
US8135208B1 (en) 2009-01-15 2012-03-13 Western Digital Technologies, Inc. Calibrated vision based robotic system utilizing upward and downward looking cameras
TWI417517B (en) * 2009-02-27 2013-12-01 Hon Hai Prec Ind Co Ltd Light source for vision measuring machine and positioning system using the same
US8318512B2 (en) * 2009-04-29 2012-11-27 Applied Materials, Inc. Automated substrate handling and film quality inspection in solar cell processing
US8321055B2 (en) * 2009-11-03 2012-11-27 Jadak, Llc System and method for multiple view machine vision target location
US8459922B2 (en) 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
US9091662B1 (en) 2009-12-22 2015-07-28 Cognex Corporation System and method for automatic camera calibration and alignment determination
JP6021909B2 (en) * 2011-07-21 2016-11-09 ブルックス オートメーション インコーポレイテッド Method and apparatus for correction of dimensional changes in low temperature sample group holders
JP5621796B2 (en) * 2012-01-31 2014-11-12 株式会社安川電機 Transport system
KR101964964B1 (en) * 2012-05-08 2019-08-07 세메스 주식회사 Semiconductor manufafturing equipments with automatic teaching apparatus of wafer transfer robot and method for teaching of the same
JP5670416B2 (en) * 2012-12-28 2015-02-18 ファナック株式会社 Robot system display device
KR101307583B1 (en) * 2013-03-14 2013-09-12 주식회사 이즈솔루션 Remote-control and device efficiency-management system according to imaging process a semiconductor device and drive method of the same
CN105122438A (en) * 2013-04-18 2015-12-02 株式会社岛津制作所 Substrate detection system and substrate detection method
US9442482B2 (en) * 2013-04-29 2016-09-13 GlobalFoundries, Inc. System and method for monitoring wafer handling and a wafer handling machine
US9111979B2 (en) * 2013-05-16 2015-08-18 Kevin P Fairbairn System and method for real time positioning of a substrate in a vacuum processing system
US9724795B2 (en) * 2013-11-07 2017-08-08 Apex Brands, Inc. Tooling system with visual identification of attached component
US9952162B2 (en) * 2013-12-13 2018-04-24 Robert Scott Simmons Optical inspection system for printed circuit board or the like
US9444004B1 (en) * 2014-05-02 2016-09-13 Deployable Space Systems, Inc. System and method for producing modular photovoltaic panel assemblies for space solar arrays
EP2963513B1 (en) * 2014-07-01 2022-08-24 Seiko Epson Corporation Teaching apparatus and robot system
US9950389B1 (en) * 2014-09-19 2018-04-24 EMC IP Holding Company LLC Laser calibration
US10005190B2 (en) * 2014-12-05 2018-06-26 Persimmon Technologies Corporation Robot with wrist and end effector different materials
US9252606B1 (en) * 2014-12-24 2016-02-02 StoreDot Ltd. Devices for adaptive fast-charging of mobile devices
KR101716805B1 (en) 2014-12-26 2017-03-16 전자부품연구원 Robot control visualization apparatus
JP6576042B2 (en) * 2015-01-14 2019-09-18 キヤノン株式会社 Display control apparatus and method, and program
DE102015009004A1 (en) 2015-06-05 2016-12-08 Solaero Technologies Corp. Automated arrangement and mounting of solar cells on panels for space applications
US10276742B2 (en) 2015-07-09 2019-04-30 Solaero Technologies Corp. Assembly and mounting of solar cells on space vehicles or satellites
US9405287B1 (en) * 2015-07-22 2016-08-02 Applied Materials, Inc. Apparatus and method for optical calibration of wafer placement by a robot
US9831110B2 (en) 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
CN106409741B (en) * 2015-07-30 2022-04-19 朗姆研究公司 Position measurement based on visible wafer notch
WO2017026256A1 (en) * 2015-08-07 2017-02-16 日本電産サンキョー株式会社 Industrial robot
US10014203B2 (en) * 2016-02-12 2018-07-03 Asm Technology Singapore Pte Ltd Pick and place device comprising pick arm correction module
US10452071B1 (en) * 2016-02-29 2019-10-22 AI Incorporated Obstacle recognition method for autonomous robots
CN107324041B (en) * 2016-04-29 2019-11-26 上海微电子装备(集团)股份有限公司 Manipulator and automatic film magazine handling device for film magazine clamping
JP6665040B2 (en) * 2016-06-20 2020-03-13 三菱重工業株式会社 Robot control system and robot control method
US10651095B2 (en) * 2016-08-11 2020-05-12 Applied Materials, Inc. Thermal profile monitoring wafer and methods of monitoring temperature
JP6718352B2 (en) * 2016-09-28 2020-07-08 川崎重工業株式会社 Board transfer hand diagnostic system
CN106624378A (en) * 2016-12-14 2017-05-10 大族激光科技产业集团股份有限公司 Laser processing method and device for wafer
US10784134B2 (en) 2017-05-03 2020-09-22 Applied Materials, Inc. Image based substrate mapper
JP6822560B2 (en) * 2017-05-11 2021-01-27 村田機械株式会社 Transport system and transport method
CN107393270B (en) * 2017-07-26 2019-11-08 河海大学常州校区 A kind of mobile vision inspection device and method for electric meter detection
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
US11247330B2 (en) * 2018-10-19 2022-02-15 Asm Ip Holding B.V. Method for teaching a transportation position and alignment jig
EP3921123A4 (en) 2019-02-08 2022-10-26 Yaskawa America, Inc. Through-beam auto teaching
US11279032B2 (en) * 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
KR20210006572A (en) 2019-07-08 2021-01-19 삼성전자주식회사 Vision sensor, a method for inspecting a semiconductor processing chamber using the same, and a method for manufacturing a semiconductor device using the same
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11908722B2 (en) * 2019-09-09 2024-02-20 Kla Corporation Automatic teaching of substrate handling for production and process-control tools
FR3103314B1 (en) 2019-11-14 2021-10-08 Safran Electronics & Defense TILTING AND ORIENTABLE SUBSTRATE DOOR AND VACUUM MULTILAYER DEPOSIT SYSTEM INCLUDING IT
JP7365924B2 (en) * 2020-02-13 2023-10-20 東京エレクトロン株式会社 teaching method
US20230104871A1 (en) * 2020-02-19 2023-04-06 Mao-Yen Sung Wafer carrier disc installation/uninstallation device and installation/uninstallation method thereof
US11584013B2 (en) 2020-03-31 2023-02-21 Wipro Limited System, device and method for determining error in robotic manipulator-to-camera calibration
US20210375654A1 (en) * 2020-05-26 2021-12-02 Asm Ip Holding B.V. Automatic system calibration for wafer handling
US11676845B2 (en) 2020-06-30 2023-06-13 Brooks Automation Us, Llc Automated teach apparatus for robotic systems and method therefor
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems
US11284018B1 (en) * 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
KR102627226B1 (en) * 2021-09-16 2024-01-19 에스엔피 주식회사 Monitoring system of robot assembly provided in vacuum chamber
KR102627640B1 (en) * 2021-09-16 2024-01-23 에스엔피 주식회사 Teaching system of robot assembly provided in vacuum chamber
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
KR20230071834A (en) 2021-11-15 2023-05-24 주식회사 에스피에스글로벌 Wafer processing robot including orienter and contact sensor and method of operation

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07193112A (en) * 1993-12-27 1995-07-28 Hitachi Ltd Multi-wafer processing device
JPH106262A (en) * 1996-06-20 1998-01-13 Toshiba Corp Instructing method and its device for robot
JPH11186360A (en) * 1997-12-25 1999-07-09 Dainippon Screen Mfg Co Ltd Jig for instructing substrate conveyance, substrate conveying device, and conveyance instruction system
JP2001210692A (en) * 2000-01-26 2001-08-03 Ebara Corp Teaching method
US20020103571A1 (en) * 2001-01-31 2002-08-01 Yoo Woo Sik System and method for determining robot alignment
JP2002313872A (en) * 2001-04-16 2002-10-25 Tokyo Electron Ltd Teaching method of substrate conveyance means
JP2003218186A (en) * 2002-01-22 2003-07-31 Tokyo Electron Ltd Method for detecting substrate delivery position in substrate carrier and teaching device therefor
JP2003243479A (en) * 2002-02-19 2003-08-29 Tokyo Electron Ltd Halt position adjusting mechanism of conveying means
JP2004536443A (en) * 2000-12-04 2004-12-02 アシスト テクノロジーズ インコーポレイテッド Self-teaching robot

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4119381A (en) * 1976-12-17 1978-10-10 Eastman Kodak Company Incubator and radiometric scanner
US5012574A (en) * 1981-02-27 1991-05-07 Diffracto Ltd. Controlled machining of combustion chambers gears and other surfaces
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5717785A (en) * 1992-01-30 1998-02-10 Cognex Corporation Method and apparatus for locating patterns in an optical image
US5446584A (en) * 1989-09-11 1995-08-29 Kensington Laboratories, Inc. Compact specimen processing station
US5331458A (en) * 1989-09-11 1994-07-19 Kensington Laboratories, Inc. Compact specimen inspection station
IL99823A0 (en) * 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
EP0597637B1 (en) * 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
US5479252A (en) * 1993-06-17 1995-12-26 Ultrapointe Corporation Laser imaging system for inspection and analysis of sub-micron particles
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US5552891A (en) * 1994-10-31 1996-09-03 International Business Machines Corporation Automated mask alignment for UV projection expose system
US6360144B1 (en) * 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
US6366830B2 (en) * 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
SG54995A1 (en) * 1996-01-31 1998-12-21 Texas Instr Singapore Pet Ltd Method and apparatus for aligning the position of die on a wafer table
JP3454400B2 (en) * 1996-02-16 2003-10-06 三井金属鉱業株式会社 Inspection method of repeating pattern
GB2310716A (en) * 1996-02-28 1997-09-03 Daewoo Electronics Co Ltd Recognition of a fiducial mark on a printed circuit board
US5844683A (en) * 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US5946083A (en) * 1997-10-01 1999-08-31 Texas Instruments Incorporated Fixed optic sensor system and distributed sensor network
TW350115B (en) * 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6012965A (en) * 1997-10-07 2000-01-11 Micro Optics Design Corp. Manufacturing ophthalmic lenses using lens structure cognition and spatial positioning system
AU1351199A (en) * 1997-12-03 1999-06-16 Nikon Corporation Substrate transferring device and method
JPH11207611A (en) * 1998-01-21 1999-08-03 Shin Etsu Handotai Co Ltd Automatic work carrier device for double-side grinding device
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6068539A (en) * 1998-03-10 2000-05-30 Lam Research Corporation Wafer polishing device with movable window
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
JP2937244B1 (en) * 1998-05-20 1999-08-23 株式会社東京精密 Wafer pattern imaging device
US6352466B1 (en) * 1998-08-31 2002-03-05 Micron Technology, Inc. Method and apparatus for wireless transfer of chemical-mechanical planarization measurements
US6477265B1 (en) * 1998-12-07 2002-11-05 Taiwan Semiconductor Manufacturing Company System to position defect location on production wafers
JP4255091B2 (en) * 1999-04-07 2009-04-15 株式会社日立国際電気 Semiconductor manufacturing method
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6813032B1 (en) 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6882416B1 (en) 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6721045B1 (en) 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6707545B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US6532403B2 (en) * 2000-04-21 2003-03-11 Microtool, Inc Robot alignment system and method
US6691068B1 (en) * 2000-08-22 2004-02-10 Onwafer Technologies, Inc. Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
JP2002100664A (en) * 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc Wafer processing method and equipment
US6648730B1 (en) * 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
WO2002062069A1 (en) * 2001-01-30 2002-08-08 Greene, Tweed Of Delaware, Inc. Monitoring system for hostile environment
JP4143305B2 (en) 2001-01-30 2008-09-03 日本電気株式会社 Robot device, verification environment determination method, and verification environment determination program
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3694808B2 (en) 2001-04-13 2005-09-14 株式会社安川電機 Wafer transfer robot teaching method and teaching plate
US6671660B2 (en) * 2001-04-19 2003-12-30 Onwafer Technologies, Inc. Methods and apparatus for power control
TW594455B (en) * 2001-04-19 2004-06-21 Onwafer Technologies Inc Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
JP4041797B2 (en) * 2001-06-28 2008-01-30 ポラック ラボラトリーズ インコーポレイテッド Built-in sensor device
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US20050233770A1 (en) * 2002-02-06 2005-10-20 Ramsey Craig C Wireless substrate-like sensor
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7067763B2 (en) * 2002-05-17 2006-06-27 Gsi Group Corporation High speed, laser-based marking method and system for producing machine readable marks on workpieces and semiconductor devices with reduced subsurface damage produced thereby
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (en) 2002-07-17 2009-04-22 株式会社安川電機 Transfer robot teaching device and transfer robot teaching method
US6890050B2 (en) * 2002-08-20 2005-05-10 Palo Alto Research Center Incorporated Method for the printing of homogeneous electronic material with a multi-ejector print head
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07193112A (en) * 1993-12-27 1995-07-28 Hitachi Ltd Multi-wafer processing device
JPH106262A (en) * 1996-06-20 1998-01-13 Toshiba Corp Instructing method and its device for robot
JPH11186360A (en) * 1997-12-25 1999-07-09 Dainippon Screen Mfg Co Ltd Jig for instructing substrate conveyance, substrate conveying device, and conveyance instruction system
JP2001210692A (en) * 2000-01-26 2001-08-03 Ebara Corp Teaching method
JP2004536443A (en) * 2000-12-04 2004-12-02 アシスト テクノロジーズ インコーポレイテッド Self-teaching robot
US20020103571A1 (en) * 2001-01-31 2002-08-01 Yoo Woo Sik System and method for determining robot alignment
JP2002313872A (en) * 2001-04-16 2002-10-25 Tokyo Electron Ltd Teaching method of substrate conveyance means
JP2003218186A (en) * 2002-01-22 2003-07-31 Tokyo Electron Ltd Method for detecting substrate delivery position in substrate carrier and teaching device therefor
JP2003243479A (en) * 2002-02-19 2003-08-29 Tokyo Electron Ltd Halt position adjusting mechanism of conveying means

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009253291A (en) * 2008-04-09 2009-10-29 Asml Holding Nv Robot position calibration tool (rpct)
JP2014050940A (en) * 2012-09-10 2014-03-20 Toyota Motor Corp Robot hand and transfer device
JP2016120566A (en) * 2014-12-25 2016-07-07 株式会社キーエンス Image processing apparatus, image processing system, image processing method, and computer program
US11231651B2 (en) 2017-04-13 2022-01-25 SCREEN Holdings Co., Ltd. Peripheral processing apparatus and peripheral processing method
US10585362B2 (en) 2017-08-08 2020-03-10 SCREEN Holdings Co., Ltd. Substrate processing apparatus, alignment device, substrate processing method and alignment method
JP2021521651A (en) * 2018-04-24 2021-08-26 サイバーオプティクス コーポレーション Wireless substrate-like teaching sensor for semiconductor processing
JP7161236B2 (en) 2018-04-24 2022-10-26 サイバーオプティクス コーポレーション Wireless substrate-like teaching sensor for semiconductor processing

Also Published As

Publication number Publication date
US20030202092A1 (en) 2003-10-30
EP1606837A1 (en) 2005-12-21
US7627395B2 (en) 2009-12-01
CN1759478B (en) 2012-05-09
KR101163237B1 (en) 2012-07-06
KR20120044998A (en) 2012-05-08
KR20050105517A (en) 2005-11-04
TWI295830B (en) 2008-04-11
US7233841B2 (en) 2007-06-19
JP4567667B2 (en) 2010-10-20
US20070112465A1 (en) 2007-05-17
KR101227934B1 (en) 2013-01-31
WO2004082014A1 (en) 2004-09-23
TW200507154A (en) 2005-02-16
CN1759478A (en) 2006-04-12
EP1606837B1 (en) 2018-05-02

Similar Documents

Publication Publication Date Title
JP4567667B2 (en) Method for calibrating a wafer carrying robot
KR101011076B1 (en) Vision system
KR101613135B1 (en) Device and method for detecting position of semiconductor substrate
JP4163950B2 (en) Self teaching robot
KR100936085B1 (en) Wireless substrate-like sensor
US9352466B2 (en) Robot positioning system for semiconductor tools
US6516244B1 (en) Wafer alignment system and method
US6648730B1 (en) Calibration tool
JP7161236B2 (en) Wireless substrate-like teaching sensor for semiconductor processing
JP2006522476A5 (en)
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
TWI390660B (en) Method and apparatus for semiconductor wafer alignment
TW202123377A (en) Detector for process kit ring wear
JP2007528558A (en) Wireless substrate sensor
US7493231B2 (en) Process for determining the actual position of a rotation axis of a transportation mechanism
JP2000084874A (en) Calibration method and device for robot

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070228

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100406

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20100624

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100713

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100805

R150 Certificate of patent or registration of utility model

Ref document number: 4567667

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130813

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130813

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250