JP2006516364A - 小さなフィーチャーを生成する半導体製造方法 - Google Patents

小さなフィーチャーを生成する半導体製造方法 Download PDF

Info

Publication number
JP2006516364A
JP2006516364A JP2006501001A JP2006501001A JP2006516364A JP 2006516364 A JP2006516364 A JP 2006516364A JP 2006501001 A JP2006501001 A JP 2006501001A JP 2006501001 A JP2006501001 A JP 2006501001A JP 2006516364 A JP2006516364 A JP 2006516364A
Authority
JP
Japan
Prior art keywords
layer
thin film
disposable
substrate
dimension
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006501001A
Other languages
English (en)
Other versions
JP2006516364A5 (ja
Inventor
ジー. スパークス、テリー
シンハル、アジェイ
ジェイ. ストロゼウスキー、カーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Publication of JP2006516364A publication Critical patent/JP2006516364A/ja
Publication of JP2006516364A5 publication Critical patent/JP2006516364A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/976Temporary protective layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/978Semiconductor device manufacturing: process forming tapered edges on substrate or adjacent layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導体製造方法であって、半導体基板(102)上に像形成層(112)及び下層(110)を含む薄膜(109)を形成する工程を含む。像形成層(112)は、印刷寸法(124)を有する印刷フィーチャーを作成するべくパターニングされる。下層(110)はその後処理され、下層(110)中にテーパー状の側壁を有する空孔(120)を作成する。ここで、空孔(120)は前記印刷寸法よりも小さく、下方に位置する前記基板に最も近接した位置に完成寸法(126)を有する。下層(110)を処理する工程は、当該ウェハを高密度低圧Nプラズマに暴露する工程を含んでもよい。

Description

本発明は、一般的には半導体の製造の分野に関し、より具体的には、本発明は半導体デバイスの中の小さなフィーチャーを作製する分野に関する。
半導体製造製造の分野において、いかなる製造工程においても重要な特性としては、その工程において信頼できるものとして製造され得る最小フィーチャーサイズである。前記最小フィーチャーサイズは、かなりの程度、集積回路デバイスの性能又は速度のみならず、前記デバイスのサイズに影響する。集積回路デバイスのサイズ及び速度は極めて重要なパラメータである。従って、より小さいフィーチャーを製造できることは、いかなる製造設備においても概して望ましいことである。
フィーチャーサイズを小さくする従来からの一方法は、現有のフォトリソグラフィー装置(一般にステッパーと称する)を次世代ステッパーに置換することであった。この取り組みの明らかな不都合は、新式のステッパーを購入し、設置し、適応させるのに巨額の資金を要することである。よって、現有装置の置換はしばしばコストがかかりすぎる。そこで製造者は、彼らのステッパーの有効寿命を延伸する製造技術を実行することに、常に関心がある。
小さなフィーチャーの製造に関する他の問題は、欠陥の起き易さに関係する。その製造設備において同一水準の欠陥が生じるならば、最小の形状が縮小されるにつれ、致命的な欠陥の数が増大することは良く知られている。フォトレジストの使用及びフォトレジスト処理は、比較的多量の粒子を発生させる傾向があるので、フォトリソグラフィーの分野ではこれは特に真実である。フィーチャーの最終の寸法、又は、エッチング後の寸法が、それと対応するフォトリドグラフィー・フィーチャーの印刷寸法よりも、確実に小さくなるようにした工程を実行することがのぞましい。
本発明においては、特定された問題について、半導体基板上に像形成層及び下層を含む二重層レジストを形成する工程を好ましくは含む半導体製造方法によって対処する。印刷寸法を有する印刷フィーチャーを製造、又は、画定するべく、前記像形成層がパターニングされる。その後前記下層に存する傾斜した側壁を有する空孔を製造するべく、前記下層が処理される。前記空孔は前記印刷寸法よりも小さく、下方に位置する前記基板に最も近接した位置において、完成寸法を有する。この方法によって、前記下層の空孔は、前記像形成層に印刷されたフィーチャーのサイズよりも小さい下方に位置する前記基板上の形状を露出させ、それにより、画像形成装置又は露光工程を変えることなく、前記フィーチャーを効果的に縮小することができる。前記下層を処理する工程は、前記ウェハを、10℃未満の温度で維持されている高密度低圧なN系プラズマに暴露する工程を含んでよい。
本発明は、本発明の更なる利点と共に、以下の説明と添付の図面と合わせて参照することにより、極めて良く理解されるであろう。
本発明の好ましい実施態様はここに詳細に言及され、その実施例は添付の図面に例示されている。図面は簡略化されており、尺度は正しくはないことは留意されるべきである。以下の説明は前記例示した実施態様に言及しているが、これらの実施態様は、例として提
示されるのであって、限定を目的としたものではないことは理解されるべきである。以下の詳細な説明は、添付の特許請求の範囲にて定義されている本発明の精神及び範囲に属する全ての改変、代替、及び等価なるものを包含することを意図している。
ここに説明されている処理工程及び構造は、集積回路の製造の為の完全な工程フローを包含するものではないことは、理解され、充分に認識されるべきである。本発明は、当業者に通常用いられている種々の集積回路製造技術と組み合わせて実施されてよく、本願には通常実施されている処理工程が本発明を理解してもらう為に必要な数のみ含まれている。それと同様にして、例えば以下の説明は、形成された前記トランジスタの相互接続、又は、他の一般に「バックエンド」処理工程と称される処理工程には言及していない。
概して言えば、本発明は、フィーチャーが使い捨ての薄膜上のフォトレジスト薄膜上に印刷又は画定される、半導体製造製造技術について考察している。前記使い捨て薄膜はその後処理され、テーパー状の側壁を有する開口又は空孔を形成する。前記テーパー状の側壁は、下方に位置する前記基板界面における前記開口の寸法が、前記印刷フィーチャーの寸法よりも小さくなるように、下方に位置する基板にて終結する。前記テーパー状の側壁を形成する為の使い捨て薄膜の処理工程は、高密度低圧N系プラズマエッチングを含んでよい。前記使い捨て薄膜の処理工程の後、前記処理された使い捨て層を用いて、前記基板は適切にエッチングされて、前記基板にエッチングフィーチャーを形成する。前記エッチングされたフィーチャーは、前記基板界面での前記開口の寸法とほぼ等しい寸法を有する、即ち、前記印刷寸法よりも小さい寸法を有する。
この様にして、少なくとも2つの製造上の改善が実現される。第1には、現有のフォトリソグラフィー工程にて印刷でき得る最小フィーチャーサイズよりも小さいフィーチャーサイズを形成する為の処理技術が、用いられ得ることである。第2には、前記処理技術は、製造者がより欠陥を生じにくい小さなフィーチャーを形成できるようにすることである。より具体的には、ここに開示される工程は完成したフィーチャーのサイズを拡大することなく、フォトリソグラフィー・フィーチャーのサイズを拡大する為に用いられ得る。フォトリソグラフィー処理工程を緩和することによって、欠陥が少ない結果が得られるであろう。
図1乃至図8は、本発明の重要な態様を強調している半導体製造のプロセスシーケンスを示している。図1は、集積回路の製造における中間段階での半導体ウェハ100の部分断面図である。図1に示す如く、ウェハ100は基板102を有し、基板102上には、エッチング停止層(ESL)104及び誘電体層106が形成されている。基板102は、単結晶シリコン、又は、pチャネル及びnチャネル金属酸化物半導体電界効果トランジスタ(MOSFET)、並びに、離間されたトランジスタ分離構造を典型的に有する複数の電子デバイスを備えるように予め処理された他の半導体基板からなる。更に、基板102は半導体製造の当業者には良く知られているであろうが、1つ以上の相互接続層及び1つ以上の層間絶縁膜層(ILD)を備えていてよい。誘電体層106及びESL104も又、基板102の一部をなすとみなされてもよい。
一実施態様において、ESL104は約500オングストロームの厚さを有する窒化珪素(SiN)又は炭素ドープ窒化珪素(SiCN)層である。前記窒化珪素は、約300から500℃の範囲の温度に維持されたCVD反応槽内においてアンモニア及びシランからプラズマを形成する工程によって得られる、プラズマ助長化学気相成長法(PECVD)による窒化珪素を含んでいてよい。低誘電率を有する材料が望ましい場合には、従来の窒化珪素の代わりに、炭素ドープ窒化珪素を用いてもよい。
誘電体層106は、約3000から9000オングストロームの、例えば、酸化珪素(
SiO)又は炭酸化酸化珪素(SiCOH)のような電気絶縁材料を有してよい。誘電体層106は同様に、誘電体層106の上方及び下方に配置されている、一組の相互接続(図示せず)の間のILDとして作用する。層106を酸化珪素とする実施態様において、前記酸化珪素は、オルソ珪酸テトラエチル(TEOS)の分解、シランと酸素との反応、ジクロロシランと亜酸化窒素との反応、又は、他の適切なCVD酸化技術によるCVDによって形成され得る。層106がSiCOHである実施形態は、約3.0未満の低い誘電率を有する材料である低Kの誘電体として採用されてよく、これは層内及び層間の容量性カップリング効果を減じるのに望ましい。
図2に転じると、キャッピング層108が誘電体層106上に形成されている。キャッピング層108は、少なくとも2つの理由から、前記工程に組み込まれてよい。誘電体層106はILDとして作用する場合には、典型的には、化学機械研磨(CMP)工程のような何らかの平坦化工程に供される。前記平坦化に続いて、ILDの所望の最終的な厚さを達成するように、キャッピング層108の成膜がなされる。この場合、最終的なILDは誘電体層106及びキャッピング層108を含む。誘電体層106がSiCOHのような低K材料であるか、又は、低K材料を含む実施態様においては、比較的薄いキャッピング層108が、その上に次の層を形成できるような安定な薄膜を供することが可能である。一実施態様において、キャッピング層108は約800オングストロームのTEOS系酸化珪素からなる。
図3及び図4を参照すると、薄膜109がキャッピング層108上に形成されている。薄膜109は暫定的な薄膜であり、製造工程の完了前には除去されるであろう。一実施態様において、薄膜109は二重層フォトレジスト(BLR)であり、該二重層フォトレジスト(BLR)は、比較的厚膜の光吸収性ポリマー下層、即ち使い捨て薄膜(UL)110と、比較的薄層の像形成層(IL)112とを有している。一実施態様において、IL112は、珪素含量が約6から15重量パーセントである珪素含有フォトレジスト像形成層であり、一方、UL110は非感光性ポリマーである。二重層レジストは、短い像形成波長(248nm以下)及び大きな開口数(NA)のレンズを用いるフォトリソグラフィー・システムにおける浅い深度の焦点特性を補償する為に使用される。このようなシステムは、100nm以下の形状を製造する為にほとんど例外なく用いられている。このようなシステムにおいては、浅い焦点深度を補償する為にフォトレジストの厚さを減らすことは限られた効果しか奏さない。前記レジストが薄過ぎると、ウェハの後続のエッチングの間に、パターン転写マスクとして機能できない。二重層レジストは、薄膜像形成層及び厚膜非感光性下層を供することにより、この問題に取り組んだものである。像形成層をパターニングした後、得られたパターンは特別なエッチング工程を用いて前記厚膜下層に転写される。前記特別なエッチング工程は、前記像形成層又はその下方に位置する前記基板を実質的にエッチングすることなく、前記下層をエッチングする。市販されている二重層レジストの例は、シプレイ・カンパニー・エルエルシー(Shipley Company,LLC)のSiBER(商標)レジストシステムである。
図3及び図4に示されている実施態様において、薄膜109は、ウェハ100をポリマーUL110で先ず被覆することにより形成される。UL110の厚さは、好ましくは3500から15000オングストロームの範囲にある。被覆したULについて、約150から250℃の範囲の温度にてベーキングを行ない、前記ポリマー材料の架橋をさせ、前記薄膜を機械的に硬化させる。UL110のベーキングに続いて、IL112がUL110上に被覆される。IL112の厚さは、好ましくは約500から3000オングストロームの範囲である。前記像形成層でウェハを被覆した後、図4に示されているような薄膜109を形成する為、IL112が、好ましくは90から140℃の範囲の温度でベーキングされる。
IL112はその後、ILの一部を選択的に除去して、図5に示されるようなパターニングされたIL114を形成する為、従来のフォトマスクを介して像形成用放射線で露光され、適当なフォトレジスト現像液中に浸漬される。IL112の前記露光は、例えば、248nm又は193nm用リソグラフィー装置にて行われてよい。パターニングされたIL114は、空孔、即ち、印刷フィーチャー116を画定している。パターニングされたIL114を形成する為の前記像形成層のフォトリソグラフィー処理工程は、UL110が非感光性であるので、UL110を実質的に無傷のままにしておく。
図6を参照すると、パターニングされたIL114にある印刷フィーチャー116は、UL110を処理することにより、UL110に転写されて、UL110にテーパー状の側壁ビア120と称される空孔を生成する。一実施態様において、ここではドライ現像工程と称される処理工程が、印刷フィーチャー116をUL110に転写する為に用いられる。UL110の前記ドライ現像処理工程は、UL110にテーパー状の側壁ビア120を形成する化学薬品及び処理条件を用いる。尚、テーパー状の側壁ビア120は、その名が示唆するように、テーパー状の、又は、勾配を有する側壁122によって特徴付けられている。本発明によれば、側壁122は、およそ70°から89°(下方に位置する前記の基板の上面に対して)の間の角度で、断面で示されるように実質的に直線状に特徴的に傾斜している。ビア120のテーパー状の側壁122は、対応する印刷フィーチャー116よりも小さい集積回路フィーチャーを効果的に画定する機構を有利に提供する。言い換えれば、UL110の処理工程は、前記印刷フィーチャーのサイズに対して、集積回路フィーチャーの形状を効果的に縮小するテーパー状の側壁ビア120を形成する。
一実施態様において、UL110の前記ドライ現像処理工程は、酸化珪素のドライエッチングに用いられる槽のような、従来のプラズマエッチング槽にて行われてよい。好ましい実施態様において、UL110のドライ現像処理工程に用いられるエッチング装置は、このような処理工程に専用のものであり、前記製造設備内の他のエッチング処理工程には用いられない。この専用槽の実施態様においては、槽をドライ現像処理工程専用にすることによって欠陥が低減され、前記ドライ現像工程の効率が向上されるものと考えられる。従来の二重層処理工程においては、下層は、直立した側壁を有する空孔、又は、悪くとも、湾曲した側壁を有する空孔を形成する傾向があるO薬品を用いて現像又はエッチングされる。直立した側壁を有する空孔では、上記したフィーチャーサイズが減少する利点を得ることができない。湾曲した側壁を有する空孔は、ドライ現像中に、曲がった側壁を有する空孔の薄い張り出し部分において下層材料の現像進行が遅いのが特徴であるので、効果が無い。
本発明の一実施態様では、図6に示されているようなテーパー状の側壁ビア120の製造を目的としたUL110のドライ現像処理工程の為に、高密度Nプラズマを低圧で用いる。本開示の目的の為には、高密度プラズマとは約1011イオン/cmより大きいイオン密度を有するプラズマを意味し、低圧とは15mT以下の圧力を意味する。一実施態様において、UL110の前記ドライ現像処理工程は、RF電源電力は500Wより大きく、RFバイアス電力は50Wより大きく、N流速は少なくとも20sccm(他のガスは前記槽内には導入されない場合)、圧力は15mT未満、及び、ウェハ(チャック部)温度は10℃未満の誘導結合型プラズマリアクタ内にて行われる。前記ドライ処理工程は、例えば、RF電源電力は500から2500W、RFバイアス電力は50から200W、N流は20から100sccm、槽内圧力は3から15mT、及び、ウェハ温度は−10から10℃を用いる。前記高密度、低圧であるプラズマエッチングの条件と合わせて、Nドライ現像薬品は、匹敵するNH/Oプラズマよりも高濃度の窒素中性種を生成すること、及び、豊富な窒素中性種によって、テーパー状の側壁ビア120にテーパー状の側壁122が形成されるものと考えられる。約5000オングストロームのULの厚さにおいて、先に開示されたドライ現像技術によって側壁122をテーパー状に形成
することによって、おおよそ40から70nmのフィーチャーサイズの縮小が得られる。この様に、ここに開示されたBLRドライ現像処理工程技術を用いて、約170nmの印刷寸法(参照番号124)と、約105nmの最終の、即ち、より小さい寸法126とを有するテーパー状の側壁ビア120を生成することができる。
テーパー状の側壁ビア120の形成には、少なくとも2つの重要な利点を有する。第1に、テーパー状の側壁ビア120を用いて、前記フォトリソグラフィーが印刷できる最小フィーチャーサイズよりも小さな最小フィーチャーサイズを有する最終フィーチャーを形成し得る。パターニングされたIL114にある印刷フィーチャー116が、前記ステッパーが印刷できる最小フィーチャーサイズにおおよそ等しい寸法を有する場合には、テーパー状の側壁ビア120は、印刷可能な最小寸法よりも小さい最小寸法を有する、下方に位置する前記ウェハに形成された集積回路フィーチャーを与えるであろう。本開示の利点を得るフォトリソグラフィー分野の当業者は、このようにして、テーパー状の側壁ビア120は、印刷フィーチャーのサイズを縮小する代替手段を用意することによって、前記フォトリソグラフィー装置の有効寿命を延伸できることを充分に理解するであろう。テーパー状の側壁ビア120は又、完成デバイスの性能、又は、ダイサイズに影響を与えずに、フォトリソグラフィー条件を緩和することができるので、致命的な欠陥数を減少させるのに使用できる。更に具体的には、テーパー状の側壁ビアは、フィーチャー116にて特定されている最小寸法よりも大きい寸法を有するフィーチャー116を印刷するフォトリソグラフィー工程と組み合わせて使用され得る。前記ウェハエッチング処理工程を完了後には、前記ウェハに製造された前記フィーチャーは、フィーチャー116にて特定される最小フィーチャーと比較し得る最小フィーチャーを有するであろう。
図7を参照すると、UL110のドライ現像処理工程の後、UL110(及びIL112)をエッチング・マスクとした等方性エッチング工程を用いて、前記集積回路のフィーチャー128がウェハ100に形成される。典型的には、フッ素系反応性イオンエッチング(RIE)工程を用いて、集積回路フィーチャー128を形成する。図示されている実施態様において、フィーチャー128は、下方に位置する誘電体106とキャッピング層108とに形成されているビアである。他の実施態様においては、同様に処理された空孔が、ダマシン工程を用いて相互接続が形成され得る溝の役目をすることができる。珪素含有のIL114の実施態様では、図7に示されるように、フィーチャー128を有するウェハ100の上のUL110だけ残すエッチング工程中には、典型的にはIL114はエッチングして除去される。使用される前記エッチング工程は、望ましくは、実質的に直立した側壁を形成するであろう。これにより、空孔120の完成寸法は下方に位置する薄膜に転写される。この様にして、図7に示されるように、フィーチャー128は、UL110におけるテーパー状の側壁ビア120の完成寸法と実質的に等しい完成フィーチャーサイズ(126)を有する。
図8を参照すると、UL110の残余部分は、従来のフォトレジスト剥離溶液を用いて、ウェハ100から剥離される。この段階では、ウェハ100は、接続又は相互接続として作用し得る電導性材料にて、フィーチャー128を満たす金属成膜処理工程のような、次の処理工程(図示せず)のための条件下にある。
この様に、本発明によって、先に提示された利点を達成するフォトリソグラフィー画像形成工程又は装置を実質的に変えずに、より小さなフィーチャーサイズを製造する工程が提供されたことは、本開示の利点を有する当業者にとって明らかである。本発明は、本発明の具体的な図示された実施態様を参照しつつ説明し、例示してきたが、本発明がそれらの図示された実施態様に限定されることを意図したものではない。本発明の精神から逸脱することなく、変更及び改変が可能であることは、当業者は認識するであろう。従って、添付の特許請求の範囲及びそれと等価のものに属する全てのこのような変更及び改変は、
本発明の中に含まれると解される。
エッチング停止層及び誘電体層が既に形成された半導体基板の部分断面図。 誘電体キャッピング層が前記誘電体層上に形成された、図1に続く処理工程を示す部分断面図。 二重層レジスト構造の下層が前記ウェハ上に塗布された、図2に続く処理工程を示す部分断面図。 前記二重層レジスト構造の像形成層が形成された、図3に続く処理工程を示す部分断面図。 前記像形成層が、フォトリソグラフィー画像形成法によってパターニングされた、図4に続く処理工程を示す部分断面図。 テーパー状の側壁ビアが、前記二重層レジストの前記下層に形成された、図5に続く処理工程を示す部分断面図。 前記テーパー状の側壁ビアによって画定されるフィーチャーが、下方に位置する前記誘電体に形成された、図6に続く処理工程を示す部分断面図。 前記下層が前記ウェハから剥離された、図7に続く処理工程を示す部分断面図。

Claims (10)

  1. 半導体基板上に使い捨て薄膜を形成する工程と、
    前記使い捨て薄膜上のフォトレジスト薄膜に、印刷寸法を有するフィーチャーを画定する工程と、
    傾斜した側壁を有することを特徴とする空孔を形成するように、前記印刷フィーチャーの下方に位置する前記使い捨て薄膜を処理する工程とを備え、基板に最も近接した位置における前記空孔の寸法は、前記印刷寸法よりも小さいことを特徴とする半導体製造方法。
  2. 前記フォトレジスト薄膜は、約500から3000オングストロームの厚さを有する珪素含有フォトレジストを含み、前記フォトレジスト層の珪素含量は約6から15重量パーセントの範囲にあり、前記使い捨て薄膜は約3500から15000オングストロームの厚さを有するポリマー層を含む、請求項1に記載の方法。
  3. 前記使い捨て薄膜を処理する工程は、前記薄膜を高密度低圧プラズマに暴露する工程を備え、前記プラズマは窒素(N)プラズマであり、前記半導体基板は、前記使い捨て薄膜の処理工程中に10℃未満の温度に維持されている、請求項1に記載の方法。
  4. 半導体基板上に像形成層及び下層を含む二重層レジストを形成する工程と、
    印刷寸法を有する印刷フィーチャーを形成するように、前記像形成層をパターニングする工程と、
    下方に位置する基板に最も近接した位置において、前記印刷寸法よりも小さい完成寸法を有する空孔を、前記下層に形成するように前記下層を処理する工程と、
    前記下層の空孔の完成寸法によって決定される寸法を有する集積回路フィーチャーを前記基板に形成するように、前記下層をエッチング・マスクとして用いて前記基板をエッチングする工程とを備えることを特徴とする半導体製造方法。
  5. 前記像形成層は、約500から3000オングストロームの厚さを有する珪素含有フォトレジストを含み、前記像形成層の珪素含量は約6から15重量パーセントの範囲にあり、前記下層は約3500から15000オングストロームの厚さを有するポリマーを含む、請求項4に記載の方法。
  6. 前記下層を処理する工程は、プラズマリアクタ槽にて前記ウェハをN系プラズマに暴露する工程を備え、Nは前記下層の処理工程中に前記槽に導入される唯一のガスであり、前記半導体基板は10℃未満の温度に維持され、前記リアクタは15mTより小さい圧力に維持されている、請求項4に記載の方法。
  7. 前記下層の空孔は傾斜したほぼ直線的な側壁を備え、該側壁は前記基板の上面に対して約70°から89°の傾斜を有する、請求項4に記載の方法。
  8. 半導体基板上に使い捨て薄膜を形成する工程と、
    前記使い捨て薄膜上のフォトレジスト薄膜に印刷寸法を有する開口を画定する工程と、
    傾斜した側壁を特徴とする空孔を形成するように、前記開口の下方に位置する前記使い捨て薄膜を高密度窒素プラズマにて処理する工程であって、前記基板に最も近接した位置における前記空孔の寸法は、前記印刷寸法よりも小さい工程と、
    前記基板に最も近接した位置における前記空孔の寸法によって決定される寸法を有する集積回路フィーチャーを前記基板に形成するように、前記処理された使い捨て層をエッチング・マスクとして用いることにより、前記基板をエッチングする工程とを備えることを特徴とする、半導体製造方法。
  9. 前記使い捨て薄膜はポリマー薄膜を含み、前記フォトレジスト薄膜は珪素含有フォトレジストを含み、前記珪素含有フォトレジストの厚さは約500から3000オングストロームの範囲にあり、前記ポリマー薄膜の厚さは3500から15000オングストロームの範囲にある、請求項8に記載の方法。
  10. 前記使い捨て層を処理する工程は、1011イオン/cmより大きいプラズマ密度で、15mTより小さい圧力で、10℃より低い温度のプラズマにて、前記使い捨て層を処理する工程であることを更に特徴とする請求項8に記載の方法。
JP2006501001A 2003-01-17 2004-01-16 小さなフィーチャーを生成する半導体製造方法 Pending JP2006516364A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/346,263 US6858542B2 (en) 2003-01-17 2003-01-17 Semiconductor fabrication method for making small features
PCT/US2004/001219 WO2004065934A2 (en) 2003-01-17 2004-01-16 Semiconductor fabrication method for making small features

Publications (2)

Publication Number Publication Date
JP2006516364A true JP2006516364A (ja) 2006-06-29
JP2006516364A5 JP2006516364A5 (ja) 2007-02-08

Family

ID=32712103

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006501001A Pending JP2006516364A (ja) 2003-01-17 2004-01-16 小さなフィーチャーを生成する半導体製造方法

Country Status (6)

Country Link
US (1) US6858542B2 (ja)
EP (1) EP1588219A2 (ja)
JP (1) JP2006516364A (ja)
KR (1) KR20050094438A (ja)
TW (1) TWI336106B (ja)
WO (1) WO2004065934A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010135624A (ja) * 2008-12-05 2010-06-17 Tokyo Electron Ltd 半導体装置の製造方法
JP2016078019A (ja) * 2014-10-10 2016-05-16 住友重機械工業株式会社 膜形成装置及び膜形成方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040144585A1 (en) * 2003-01-24 2004-07-29 Vasser Paul M. Human powered golf cart with auxiliary power source
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
TWI424498B (zh) * 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US8367303B2 (en) 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
US8563431B2 (en) * 2006-08-25 2013-10-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7973413B2 (en) * 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
US20090057907A1 (en) * 2007-08-30 2009-03-05 Ming-Tzong Yang Interconnection structure
US7901852B2 (en) * 2008-02-29 2011-03-08 Freescale Semiconductor, Inc. Metrology of bilayer photoresist processes
US20100051896A1 (en) * 2008-09-02 2010-03-04 Samsung Electronics Co., Ltd. Variable resistance memory device using a channel-shaped variable resistance pattern
KR20100082604A (ko) * 2009-01-09 2010-07-19 삼성전자주식회사 가변저항 메모리 장치 및 그의 형성 방법
KR101617381B1 (ko) 2009-12-21 2016-05-02 삼성전자주식회사 가변 저항 메모리 장치 및 그 형성 방법
US9159581B2 (en) 2012-11-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a semiconductor device using a bottom antireflective coating (BARC) layer
KR102379165B1 (ko) 2015-08-17 2022-03-25 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002023272A1 (en) * 2000-09-18 2002-03-21 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
JP2002353195A (ja) * 2001-05-23 2002-12-06 Sony Corp 半導体装置の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4061530A (en) * 1976-07-19 1977-12-06 Fairchild Camera And Instrument Corporation Process for producing successive stages of a charge coupled device
EP0263220B1 (en) * 1986-10-08 1992-09-09 International Business Machines Corporation Method of forming a via-having a desired slope in a photoresist masked composite insulating layer
JP3001607B2 (ja) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5196376A (en) * 1991-03-01 1993-03-23 Polycon Corporation Laser lithography for integrated circuit and integrated circuit interconnect manufacture
KR940010315B1 (ko) * 1991-10-10 1994-10-22 금성 일렉트론 주식회사 반도체 소자의 미세 패턴 형성 방법
US5320981A (en) * 1993-08-10 1994-06-14 Micron Semiconductor, Inc. High accuracy via formation for semiconductor devices
US5750441A (en) * 1996-05-20 1998-05-12 Micron Technology, Inc. Mask having a tapered profile used during the formation of a semiconductor device
US6251734B1 (en) * 1998-07-01 2001-06-26 Motorola, Inc. Method for fabricating trench isolation and trench substrate contact
US6432832B1 (en) * 1999-06-30 2002-08-13 Lam Research Corporation Method of improving the profile angle between narrow and wide features
US6313019B1 (en) * 2000-08-22 2001-11-06 Advanced Micro Devices Y-gate formation using damascene processing
US6548347B2 (en) * 2001-04-12 2003-04-15 Micron Technology, Inc. Method of forming minimally spaced word lines
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6649517B2 (en) * 2001-05-18 2003-11-18 Chartered Semiconductor Manufacturing Ltd. Copper metal structure for the reduction of intra-metal capacitance
US6559048B1 (en) * 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
KR100400254B1 (ko) * 2001-12-18 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 제조방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002023272A1 (en) * 2000-09-18 2002-03-21 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
JP2002353195A (ja) * 2001-05-23 2002-12-06 Sony Corp 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010135624A (ja) * 2008-12-05 2010-06-17 Tokyo Electron Ltd 半導体装置の製造方法
JP2016078019A (ja) * 2014-10-10 2016-05-16 住友重機械工業株式会社 膜形成装置及び膜形成方法

Also Published As

Publication number Publication date
TWI336106B (en) 2011-01-11
US20040142576A1 (en) 2004-07-22
WO2004065934A8 (en) 2005-08-04
TW200507103A (en) 2005-02-16
EP1588219A2 (en) 2005-10-26
KR20050094438A (ko) 2005-09-27
WO2004065934A2 (en) 2004-08-05
WO2004065934A3 (en) 2005-03-10
US6858542B2 (en) 2005-02-22

Similar Documents

Publication Publication Date Title
US6720132B2 (en) Bi-layer photoresist dry development and reactive ion etch method
US8940643B2 (en) Double patterning strategy for contact hole and trench in photolithography
US8673544B2 (en) Method of forming openings
US7494934B2 (en) Method of etching carbon-containing layer and method of fabricating semiconductor device
US8008206B2 (en) Double patterning strategy for contact hole and trench in photolithography
US7067235B2 (en) Bi-layer photoresist dry development and reactive ion etch method
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
JP2006516364A (ja) 小さなフィーチャーを生成する半導体製造方法
US11437238B2 (en) Patterning scheme to improve EUV resist and hard mask selectivity
US6936533B2 (en) Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
US20040185674A1 (en) Nitrogen-free hard mask over low K dielectric
US7767386B2 (en) Method of patterning an organic planarization layer
US20240136184A1 (en) Method for forming and using mask
US20030119330A1 (en) Bi-layer photoresist method for forming high resolution semiconductor features
US20080073321A1 (en) Method of patterning an anti-reflective coating by partial etching
US7883835B2 (en) Method for double patterning a thin film
US7932017B2 (en) Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
JP3986927B2 (ja) 半導体装置の製造方法
JP2000305273A (ja) 遠紫外線ドライフォトリソグラフィー

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061213

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100105

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100402

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100608