JP2006337289A - Semiconductor integrated circuit device and its testing method - Google Patents

Semiconductor integrated circuit device and its testing method Download PDF

Info

Publication number
JP2006337289A
JP2006337289A JP2005164911A JP2005164911A JP2006337289A JP 2006337289 A JP2006337289 A JP 2006337289A JP 2005164911 A JP2005164911 A JP 2005164911A JP 2005164911 A JP2005164911 A JP 2005164911A JP 2006337289 A JP2006337289 A JP 2006337289A
Authority
JP
Japan
Prior art keywords
circuit
memory
flip
test
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005164911A
Other languages
Japanese (ja)
Other versions
JP4707053B2 (en
Inventor
Yoichi Maeda
洋一 前田
Jun Matsushima
潤 松嶋
Ichiro Kono
一郎 河野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2005164911A priority Critical patent/JP4707053B2/en
Publication of JP2006337289A publication Critical patent/JP2006337289A/en
Application granted granted Critical
Publication of JP4707053B2 publication Critical patent/JP4707053B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor integrated circuit device realizing detection of delayed failures on a memory boundary, and to provide its test method. <P>SOLUTION: In this device, a test circuit including a scan chain, consisting of first, second, third, and fourth FFs for detecting a failure of a logic circuit and a memory circuit, to which memory access is performed by the circuit, is used. The first and third FFs fetch first and third test signals for the memory circuit by a scan-in operation, synchronize with an internal clock, transmit the first test signal to the third FF through a selector, and transmits the third test signal to the memory circuit. The fourth FF fetches the output signal of the memory circuit. The memory circuit has a test signal path for transmitting the same signal to the fourth FF, at write-in operation in the test mode. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

この発明は、半導体集積回路装置とそのテスト方法に関し、特に論理回路によりメモリアクセスが行われるメモリ回路を備え、上記論理回路とメモリ回路との間の遅延性の故障を検出する技術に利用して有効な技術に関するものである。   The present invention relates to a semiconductor integrated circuit device and a test method thereof, and more particularly, to a semiconductor integrated circuit device having a memory circuit that is accessed by a logic circuit and detecting a delay failure between the logic circuit and the memory circuit. It relates to effective technology.

組み込み型メモリを搭載するシステムLSIにおいて、ロジック回路とメモリ回路をテストするようなスキャンチェーンを備えた半導体集積回路装置及びその設計方法の例として、特開2004−280926公報、特開2004−053341公報がある。上記特開2004−280926公報では、メモリコア内にバウンダリスキャン回路を付加することにより、メモリのスキャンテストと高速なランダムサイクルのライト動作を実現する。上記特開2004−053341公報では、メモリの入力ラッチをスキャンレジスタ化することで面積の増大を少なくしながらメモリのスキャンテストを可能にする。
特開2004−280926公報 特開2004−053341公報
As an example of a semiconductor integrated circuit device having a scan chain for testing a logic circuit and a memory circuit in a system LSI mounted with an embedded memory, and an example of a design method thereof, Japanese Patent Application Laid-Open Nos. 2004-280926 and 2004-053341 are disclosed. There is. In the above Japanese Patent Application Laid-Open No. 2004-280926, a boundary scan circuit is added in the memory core to realize a memory scan test and a high-speed random cycle write operation. In Japanese Patent Application Laid-Open No. 2004-053341, a memory scan test can be performed while reducing an increase in area by forming a memory input latch as a scan register.
JP 2004-280926 A JP 2004-053341 A

本願発明に先立って図15図に示すように組み込み型メモリを搭載するシステムLSIとして、組み込み型メモリの遅延性故障を検出するメモリBIST回路を搭載することを考えた。メモリBIST回路を実速度動作させたテストを行うことにより、メモリの動作における遅延性故障の検出、及び組み込み型メモリとメモリBIST回路間の遅延性故障の検出が行える。また、組み込み型メモリの周辺回路(ロジック)を含むシステムLSI全体のテストを行うために、実速度のスキャンテストを実施することで、システムLSI全体の遅延性故障の検出が行える。図16に示すように、スキャンテストにおける組み込み型メモリの扱いは、メモリはブラックボックスとし、メモリへ入力される値を観測およびメモリの出力値を制御するためのFF(フリップフロップ回路)07を設ける場合や、特許文献1や特許文献2のようにメモリ内部のFFを利用してシステムLSIのスキャン化と同様にスキャン化を行うことが考えられる。   Prior to the present invention, as shown in FIG. 15, as a system LSI on which an embedded memory is mounted, it was considered to mount a memory BIST circuit that detects a delay failure of the embedded memory. By performing a test in which the memory BIST circuit is operated at an actual speed, it is possible to detect a delay fault in the operation of the memory and a delay fault between the embedded memory and the memory BIST circuit. In addition, in order to test the entire system LSI including the peripheral circuit (logic) of the embedded memory, a delay fault of the entire system LSI can be detected by performing an actual speed scan test. As shown in FIG. 16, the embedded memory in the scan test is handled as a black box, and an FF (flip-flop circuit) 07 is provided for observing the value input to the memory and controlling the output value of the memory. In other cases, as in Patent Document 1 and Patent Document 2, it is conceivable to scan using the FF in the memory in the same way as scanning of the system LSI.

しかしながら、前記検討された技術では、メモリの境界における遅延性故障は検出できていないことになる。なぜなら、メモリBISTでは、メモリBIST回路からメモリの書き込みや読み出しを行っているため、実際のユーザ動作時、つまりはロジック18からのメモリ書き込みや読み出しのタイミングとは異なる。また、スキャンテストでは、メモリの入出力の観測/制御のためのFF07があったとしても、そのFFは自動レイアウトによってメモリから離れた位置に配置されてしまう。そのため、転送のタイミングとしては緩やかになり、メモリ境界の遅延性故障を検出するという点において有効な手段とはならない。特許文献1や特許文献2のようにメモリ内部をスキャン化した場合においても、メモリの入力における遅延性故障の検出は可能であったとしても、メモリの出力における遅延性の故障の検出は行うことができない。   However, in the studied technique, the delay fault at the memory boundary cannot be detected. This is because, in the memory BIST, the memory BIST circuit performs writing and reading of the memory, so that the timing of the actual user operation, that is, the memory writing and reading from the logic 18 is different. In the scan test, even if there is FF07 for observing / controlling the input / output of the memory, the FF is arranged at a position away from the memory by automatic layout. For this reason, the transfer timing becomes gradual, and it is not an effective means in terms of detecting a delay fault at the memory boundary. Even when the inside of the memory is scanned as in Patent Document 1 and Patent Document 2, even if it is possible to detect a delay fault in the memory input, the delay fault in the memory output should be detected. I can't.

この発明の目的は、メモリ境界での遅延性故障の検出を実現した半導体集積回路装置及びそのテスト方法を提供することにある。この発明の前記ならびにそのほかの目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   An object of the present invention is to provide a semiconductor integrated circuit device that realizes detection of a delay fault at a memory boundary and a test method thereof. The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば、下記の通りである。論理回路とそれによりメモリアクセスが行われるメモリ回路の故障を検出する第1、第2、第3及び第4フリップフロップ回路からなるスキャンチェーンを含んだテスト回路を備える。第1テストモードにおいて、内部クロックに同期して上記第1フリップフロップ回路に取り込まれた上記第1テスト信号を第1セレクタを通して上記第3フリップフロップ回路、第3フリップフロップ回路に取り込まれた上記第3テスト信号は、上記内部クロックに同期して上記メモリ回路にメモリアクセスの入力信号として伝える。第2テストモードにおいて、内部クロックに同期して上記第2フリップフロップ回路に取り込まれた第2テスト信号は、上記内部クロックに同期して上記第1セレクタを通して上記第3フリップフロップ回路に、上記第3フリップフロップ回路に保持されていた信号が上記内部クロックに同期して上記メモリ回路にメモリアクセスの入力信号として伝える。上記第4フリップフロップ回路は、上記第1テストモード及び第2テストモードのときに上記メモリ回路からの出力信号を上記内部クロックに同期して取り込む。上記メモリ回路は、上記第1テストモードでの上記内部クロックに同期した書き込み動作のときに、上記第3フリップフロップ回路により形成された書き込み信号と同じ信号を上記第4フリップフロップ回路に伝えるテスト信号経路を備える。   The outline of a typical invention among the inventions disclosed in the present application will be briefly described as follows. A test circuit including a scan chain including a logic circuit and first, second, third, and fourth flip-flop circuits for detecting a failure of the memory circuit to which memory access is performed is provided. In the first test mode, the first test signal taken into the first flip-flop circuit in synchronization with an internal clock is passed through the first selector, and the third flip-flop circuit and the third flip-flop circuit take the first test signal. The 3 test signal is transmitted to the memory circuit as a memory access input signal in synchronization with the internal clock. In the second test mode, the second test signal taken into the second flip-flop circuit in synchronization with the internal clock is passed through the first selector to the third flip-flop circuit in synchronization with the internal clock. The signal held in the 3 flip-flop circuit is transmitted to the memory circuit as an input signal for memory access in synchronization with the internal clock. The fourth flip-flop circuit takes in an output signal from the memory circuit in synchronization with the internal clock in the first test mode and the second test mode. The memory circuit is a test signal for transmitting the same signal as the write signal formed by the third flip-flop circuit to the fourth flip-flop circuit during a write operation in synchronization with the internal clock in the first test mode. Provide a route.

本願において開示される発明のうち他の代表的なものの概要を簡単に説明すれば、下記の通りである。論理回路とそれによりメモリアクセスが行われるメモリ回路の故障を検出する第1、第2、第3及び第4フリップフロップ回路からなるスキャンチェーンを含んだテスト回路を備える。上記第1及び第2フリップフロップ回路は、第1及び第2テストモードでのスキャンイン動作のときに上記メモリ回路用の第1及び第2テスト信号を取り込み、内部クロックに同期して第1セレクタを通して上記第3フリップフロップ回路にそれぞれ伝える。上記第3フリップフロップ回路は、上記内部クロックに同期して上記メモリ回路をアクセスする入力信号を形成し、上記第4フリップフロップ回路は、上記第1テストモードのときに第2セレクタを通して上記第3フリップフロップ回路の出力信号を上記内部クロックに同期して取り込み、上記第2テストモードときに上記第2セレクタを通して上記メモリセルアレイの出力信号を上記内部クロックに同期して取り込む。   The outline of other representative ones of the inventions disclosed in the present application will be briefly described as follows. A test circuit including a scan chain including a logic circuit and first, second, third, and fourth flip-flop circuits for detecting a failure of the memory circuit to which memory access is performed is provided. The first and second flip-flop circuits receive the first and second test signals for the memory circuit during the scan-in operation in the first and second test modes, and synchronize with the internal clock. To the third flip-flop circuit. The third flip-flop circuit forms an input signal for accessing the memory circuit in synchronization with the internal clock, and the fourth flip-flop circuit passes the second selector through the second selector in the first test mode. The output signal of the flip-flop circuit is captured in synchronization with the internal clock, and the output signal of the memory cell array is captured in synchronization with the internal clock through the second selector in the second test mode.

本願において開示される発明のうち更に他の代表的なものの概要を簡単に説明すれば、下記の通りである。論理回路とそれによりメモリアクセスが行われるメモリ回路の故障を検出する第1、第2フリップフロップ回路を含むスキャンチェーンを有するテスト回路を備えた半導体集積回路装置のテスト方法である。外部スキャン用クロックに同期して上記第1フリップフロップ回路に対して上記メモリ回路用の第1テスト信号を入力し、内部クロックに同期して上記メモリ回路の書き込み及び読み出し動作を行い、出力信号を上記第1又は第2フリップフロップ回路に伝える第1テスト動作を行い、スキャンアウトして読み出して期待値と比較する。上記スキャン用クロックに同期して上記第2フリップフロップ回路に対して上記メモリ回路用の第2テスト信号を入力し、内部クロックに同期して上記メモリ回路の書き込み及び読み出し動作を行い、出力信号を上記第1又は第2フリップフロップ回路に伝える第2テスト動作を行い、スキャンアウトして読み出して期待値と比較する。上記第1テストモード及び第2テストモードの組み合わせにより上記論理回路とメモリ回路との間の遅延性故障を検出する。   The outline of still another representative one of the inventions disclosed in the present application will be briefly described as follows. A test method for a semiconductor integrated circuit device comprising a logic circuit and a test circuit having a scan chain including first and second flip-flop circuits for detecting a failure of a memory circuit to which memory access is performed. The first test signal for the memory circuit is input to the first flip-flop circuit in synchronization with the external scan clock, the write and read operations of the memory circuit are performed in synchronization with the internal clock, and the output signal is output. A first test operation transmitted to the first or second flip-flop circuit is performed, scanned out, read, and compared with an expected value. The second test signal for the memory circuit is input to the second flip-flop circuit in synchronization with the scan clock, the write and read operations of the memory circuit are performed in synchronization with the internal clock, and the output signal is output. A second test operation transmitted to the first or second flip-flop circuit is performed, scanned out, read out, and compared with an expected value. A delay fault between the logic circuit and the memory circuit is detected by a combination of the first test mode and the second test mode.

メモリの境界での遅延性故障の検出を行うことができる。   Delayed faults can be detected at memory boundaries.

図1には、この発明に係る半導体集積回路装置(システムLSI)の一実施例の要部ブロック図が示されている。この実施例のシステムLSIは、ロジック部18と組み込み型メモリ17を含む。メモリ17はロジック部18によりメモリアクセスが行われる。ロジック部18と組み込み型メモリ17はともにテスト動作を実施するためにスキャン化されている。つまり、ロジック部18は、内部クロックに同期した論理シーケンスを行うために論理段間に設けられたフリップフロップ回路(以下、単にFFという)01,04がテストモードのときに縦列形態に接続されてスキャンチェーンを構成するようにされる。また、メモリ17も、セレクタ05により上記ロジック部18とは切り離されてメモリBIST(Built in Self Test)回路10を構成するスキャンチェーンのFF13,14により直接にメモリアクセスが行われるようにされる。   FIG. 1 is a block diagram showing the principal part of an embodiment of a semiconductor integrated circuit device (system LSI) according to the present invention. The system LSI of this embodiment includes a logic unit 18 and an embedded memory 17. The memory 17 is accessed by the logic unit 18. Both the logic unit 18 and the embedded memory 17 are scanned in order to perform a test operation. In other words, the logic unit 18 is connected in a tandem configuration when flip-flop circuits (hereinafter simply referred to as FFs) 01 and 04 provided between logic stages to perform a logic sequence synchronized with an internal clock are in a test mode. It is made to constitute a scan chain. The memory 17 is also separated from the logic unit 18 by the selector 05 and is directly accessed by the FFs 13 and 14 of the scan chain constituting the memory BIST (Built in Self Test) circuit 10.

メモリ17の入力部にもFF22が設けられ、それもスキャンチェーン化されている。この実施例では、組み込み型メモリ17は、ライトスルーモード20を持つようにされる。メモリ内FF22は、メモリ入力02に対応して設けられて入力信号を取り込み、メモリ17をメモリアクセスするのための入力信号を取り込み保持する。上記ライトスルーモード20がイネーブル状態であると、上記メモリ内FF22の出力値でメモリセルアレイ19に書き込まれた信号と同じ信号がメモリセルアレイ19を通過してメモリ出力03から出力される。このライトスルーモードでは、例えば書き込み動作のために書き込み系回路が動作状態にされると同時に読み出し系回路も動作状態にされる。例えば、ライトアンプとセンスアンプとが共に活性化されて、メモリセルに書き込まれる信号がセンスアンプにより増幅されて出力させられる。   An FF 22 is also provided at the input section of the memory 17 and is also scan-chained. In this embodiment, the embedded memory 17 has a write-through mode 20. The in-memory FF 22 is provided corresponding to the memory input 02 and captures an input signal, and captures and holds an input signal for accessing the memory 17. When the write-through mode 20 is enabled, the same signal as the signal written in the memory cell array 19 with the output value of the in-memory FF 22 passes through the memory cell array 19 and is output from the memory output 03. In this write-through mode, for example, the write system circuit is put into an operating state for a write operation, and at the same time, the read system circuit is put into an operating state. For example, both the write amplifier and the sense amplifier are activated, and the signal written in the memory cell is amplified and output by the sense amplifier.

この実施例ではメモリ境界の遅延性故障検出の信号経路は、同図において実線で示されている。このメモリ境界の遅延性故障検出は、外部から供給される比較的遅い速度のスキャン用クロックに同期してテスト用信号が各フリップフロップ回路FF01、FF04、FF22等にスキャンイン(シリアル入力)される。そして、実速度の内部クロックに同期してパラレル出力されてロジック18及びメモリ17のテストが行われる。ユーザのFF01から出力された信号は、メモリ内FF22にラッチされる。これにより、ロジック18の出力段であるFF01とメモリ17の入力であるFF22間の遅延性故障の検出が可能となる。また、メモリ内FF22にスキャンインされたテスト信号がメモリアクセスのための入力信号としてメモリ17に伝えられる。かかるテスト中、メモリ17はライトスルーモード20をイネーブル状態にされており、メモリ内FF22の出力(書き込み信号)は、メモリセルアレイ19を通過し、メモリ出力03を通してユーザのFF04にラッチされる。これにより、メモリ内FF22からメモリ17の出力を通したロジック部18の入力段であるFF04との間の遅延性故障の検出が可能となる。   In this embodiment, the signal path for detecting a delay fault at the memory boundary is indicated by a solid line in FIG. In this delay detection of a memory boundary, a test signal is scanned in (serial input) to each of the flip-flop circuits FF01, FF04, FF22 and the like in synchronization with a scan clock with a relatively low speed supplied from the outside. . The logic 18 and the memory 17 are tested in parallel output in synchronization with the internal clock at the actual speed. The signal output from the user FF01 is latched in the in-memory FF22. As a result, it becomes possible to detect a delay fault between the FF01 which is the output stage of the logic 18 and the FF22 which is the input of the memory 17. Further, the test signal scanned into the in-memory FF 22 is transmitted to the memory 17 as an input signal for memory access. During this test, the memory 17 is in the write-through mode 20 enabled, and the output (write signal) of the in-memory FF 22 passes through the memory cell array 19 and is latched by the user FF 04 through the memory output 03. As a result, it becomes possible to detect a delay fault with the FF04 which is the input stage of the logic unit 18 through the output of the memory 17 from the in-memory FF22.

メモリBIST回路10でのメモリテスト動作は、前記図15のテストと同様である。図1において、メモリBIST回路10でのテスト信号経路は、点線で示されている。つまり、メモリBIST回路10のFF13に、外部から供給される比較的遅い速度のスキャン用クロックに同期して第1番目のテスト信号を取り込み、内部クロックに同期してセレクタ05を通してテスト用入力信号をメモリ内FF22に伝える。このとき、メモリ内FF22にはダミー信号が入力される。あるいは何も入力されない。したがって、上記第1番目のテスト信号は、上記メモリ内FF22にテスト信号を入力するだけのダミーサイクルとされる。次に、第2番目のテスト信号をスキャンインして、それを前記同様に内部クロックに同期して上記メモリ内FF22に伝達し、上記FF22に保持された第1番目のテスト信号によりメモリのメモリアクセスが行われる。このメモリアクセスにおいて、もしも読み出し動作なら読み出し信号が上記メモリBISTのFF14に伝えられる。   The memory test operation in the memory BIST circuit 10 is the same as the test of FIG. In FIG. 1, the test signal path in the memory BIST circuit 10 is indicated by a dotted line. That is, the first test signal is taken into the FF 13 of the memory BIST circuit 10 in synchronization with a scan clock supplied from the outside at a relatively low speed, and the test input signal is inputted through the selector 05 in synchronization with the internal clock. This is transmitted to the FF 22 in the memory. At this time, a dummy signal is input to the in-memory FF 22. Or nothing is entered. Therefore, the first test signal is a dummy cycle in which the test signal is only input to the in-memory FF 22. Next, the second test signal is scanned in and transmitted to the in-memory FF 22 in synchronization with the internal clock in the same manner as described above. The first test signal held in the FF 22 stores the memory in the memory. Access is made. In this memory access, if a read operation is performed, a read signal is transmitted to the FF 14 of the memory BIST.

上記メモリBIST回路10でのメモリテスト動作は、メモリ17をロジック部より切り離し、スキャンイン動作によりアドレス信号、制御信号及び書き込み信号を任意のパターンで直接的に入力するという、いわゆるメモリテストを行うというものであり、すべてのメモリセルについて正しく書き込みや読み出し動作が行われることのテストであり、多数のテストパターンを必要とするものである。   The memory test operation in the memory BIST circuit 10 is to perform a so-called memory test in which the memory 17 is disconnected from the logic unit, and an address signal, a control signal, and a write signal are directly input in an arbitrary pattern by a scan-in operation. This is a test for correctly performing writing and reading operations for all memory cells, and requires a large number of test patterns.

図2には、図1のスキャンチェーン化されるFFの一実施例のブロック図が示されている。FFは、マスターフリップフロップ回路MFFとスレーブフリップフロップ回路SFFから構成される。マスターフリップフロップ回路MFFは、内部クロックclkがロウレベルのときに第1入力信号を取り込んでスルーして出力している。このとき、スレーブフリップフロップ回路SFFは、上記第1入力信号の以前に取り込んだ入力信号を保持している。そして、内部クロックclkがハイレベルに変化すると、上記マスターフリップフロップ回路MFFは、上記第1入力信号を保持し、スレーブフリップフロップ回路SFFが上記第1入力信号の取り込んでスルーして出力する。この結果、スレーブフリップフロップ回路SFFからスキャン出力SO、又は点線で示すように後段側の論理段又はメモリに上記第1入力信号が伝えられる。   FIG. 2 shows a block diagram of an embodiment of the FF to be scan chained in FIG. The FF includes a master flip-flop circuit MFF and a slave flip-flop circuit SFF. The master flip-flop circuit MFF takes in and outputs the first input signal when the internal clock clk is at a low level. At this time, the slave flip-flop circuit SFF holds the input signal captured before the first input signal. When the internal clock clk changes to a high level, the master flip-flop circuit MFF holds the first input signal, and the slave flip-flop circuit SFF takes in the first input signal and outputs it. As a result, the first input signal is transmitted from the slave flip-flop circuit SFF to the scan output SO or the subsequent logic stage or memory as indicated by the dotted line.

上記内部クロックclkがロウレベルに変化すると、マスターフリップフロップ回路MFFは第2入力信号を取り込みスルーしているが、スレーブフリップフロップ回路SFFは上記取り込んだ第1入力信号を保持している。このようにして、セレクタMUXにより選択された入力信号Din又はSIは、内部クロックclkがハイレベルに変化するエッジで確定して、そのタイミングで上記入力信号を出力SO(DQ)から出力させる。したがって、スキャン動作ではクロックclkの1周期によりFF01の信号がFF04に、FF04の信号がFF13等に順次に伝えられる。また、テスト動作ではクロックclkの1周期内に例えばFF01の信号がセレクタ05を通してFF22に正しく伝えられること、及びFF22の信号がメモリセルアレイ19を通してFF04に正しく伝えられることの検出により上記遅延性故障の有無の検出が可能になる。   When the internal clock clk changes to a low level, the master flip-flop circuit MFF takes in the second input signal, but the slave flip-flop circuit SFF holds the fetched first input signal. In this way, the input signal Din or SI selected by the selector MUX is determined at the edge at which the internal clock clk changes to a high level, and the input signal is output from the output SO (DQ) at that timing. Therefore, in the scan operation, the signal of FF01 is sequentially transmitted to FF04 and the signal of FF04 is sequentially transmitted to FF13 and the like in one cycle of the clock clk. In the test operation, the delay fault is detected by detecting that the signal of FF01 is correctly transmitted to FF22 through the selector 05 and the signal of FF22 is correctly transmitted to FF04 through the memory cell array 19 within one cycle of the clock clk. The presence or absence can be detected.

上記マスターフリップフロップ回路MFFの入力部にはセレクタMUXが設けられており、選択信号(スキャンイネーブル信号)SENによりスキャン動作では前段のフリップフロップ回路のスキャンアウトSOを受けるスキャンインSIが選択される。また、通常動作やテスト動作ではロジック部18等の出力信号を受ける入力端子Dinが選択される。これにより、選択信号SENにより、テスト入力信号の取り込みやテスト結果を出力するスキャン動作と、上記遅延性故障を検出するテスト動作及び通常動作との切り替えが行われる。   A selector MUX is provided at the input of the master flip-flop circuit MFF, and a scan-in SI that receives the scan-out SO of the preceding flip-flop circuit is selected in the scan operation by a selection signal (scan enable signal) SEN. Further, in the normal operation and the test operation, the input terminal Din that receives the output signal of the logic unit 18 or the like is selected. As a result, the selection signal SEN switches between the scan operation for acquiring the test input signal and outputting the test result, and the test operation for detecting the delay fault and the normal operation.

図3には、図1のメモリ内FFの一実施例のブロック図が示されている。この実施例では、メモリの入力信号としては、アドレス信号A0〜Am、データ信号D0〜Dn及び制御信号としてライトイネーブル信号WEN、チップイネーブル信号CENの他にスキャンイネーブルSENが設けられる。上記アドレス信号A0〜Am、データ信号D0〜Dn及び制御信号としてライトイネーブル信号WEN、チップイネーブル信号CENの各入力信号にセレクタMUXを介して前記マスターフリップフロップ回路MFF及びスレーブフリップフロップ回路SFFからなるFFに入力される。上記セレクタMUXは、信号CENに対応したセレクタMUXについて代表として示したように上記スキャンイネーブルSENが供給される。ライトモードであってライトスルーモードが指示されたなら、上記FFに取り込まれたデータインD0〜Dnは、メモリセルアレイの選択されたメモリセルに書き込まれるとともに、前記のようにセンスアンプ等を通して増幅されてそのままデータアウトQ0〜Qnから出力される。   FIG. 3 shows a block diagram of an embodiment of the in-memory FF of FIG. In this embodiment, a scan enable SEN is provided in addition to the address signals A0 to Am, the data signals D0 to Dn and the write enable signal WEN and the chip enable signal CEN as the memory input signals. The address signals A0 to Am, the data signals D0 to Dn, the write enable signal WEN as the control signal, and the chip enable signal CEN are input to the FF including the master flip-flop circuit MFF and the slave flip-flop circuit SFF via the selector MUX. Is input. The selector MUX is supplied with the scan enable SEN as shown as a representative of the selector MUX corresponding to the signal CEN. If the write-through mode is instructed in the write mode, the data-in D0 to Dn taken into the FF is written into the selected memory cell of the memory cell array and amplified through the sense amplifier as described above. The data out Q0 to Qn are output as they are.

特に制限されないが、上記FFは、前記図2に示したような出力信号SOがアンドゲート回路Gの一方の入力を介して次段のセレクタMUXに入力されることにより、シリアルに接続されてスキャンチェーン化される。これらのゲート回路Gの他方の入力には、ゲート制御信号として上記スキャンイネーブルSENが共通に供給される。つまり、スキャンイネーブルSENがハイレベル(論理1)ときに、各ゲートGがゲートを開いて上記スキャンチェーン接続のための信号伝達が行われる。上記スキャンチェーンのためだけなら、ゲート回路Gを省略できる。上記ゲート回路Gを設けることにより、通常動作のときにはスキャンイネーブル信号SENによりゲート回路Gのゲートが閉じられて、その出力がロウレベルに固定される。このため、通常動作時では上記FFの出力信号の変化が常にセレクタMUXの入力まで伝えられることによる消費電力の増加を抑えることができる。したがって、ゲート回路Gは、各FFの出力部に隣接して設けられる。   Although not particularly limited, the FF is connected to the FF in a serial manner when the output signal SO as shown in FIG. 2 is input to the selector MUX at the next stage via one input of the AND gate circuit G, and is scanned. Chained. The scan enable SEN is commonly supplied to the other inputs of these gate circuits G as a gate control signal. That is, when the scan enable SEN is at a high level (logic 1), each gate G opens the gate and signal transmission for the scan chain connection is performed. If it is only for the scan chain, the gate circuit G can be omitted. By providing the gate circuit G, during normal operation, the gate of the gate circuit G is closed by the scan enable signal SEN, and its output is fixed at a low level. For this reason, it is possible to suppress an increase in power consumption due to the change in the output signal of the FF being always transmitted to the input of the selector MUX during normal operation. Therefore, the gate circuit G is provided adjacent to the output part of each FF.

なお、メモリのスキャンインSIには前段FFのスキャンアウトが接続され、メモリのスキャンアウトSOは後段FFのスキャンインに接続される。このようにしてメモリ回路を含んだスキャンチェーン化が行われる。このようなスキャンチェーンを通してテスト信号のシリアル入力が行われ、上記FFに取り込まれたテスト信号によるテスト結果のシリアル出力が行われる。   The scan-out SI of the memory is connected to the scan-out of the preceding FF, and the scan-out SO of the memory is connected to the scan-in of the subsequent FF. In this way, a scan chain including the memory circuit is performed. The test signal is serially input through such a scan chain, and the test result is serially output by the test signal taken into the FF.

図4には、図1のメモリ回路の他の一実施例の構成図が示されている。この実施例では、ユーザの機能でも前記ライトスルーモードを利用できるようにオアゲート回路ORが設けられる。このゲート回路ORを介してテスト信号TSTとユーザーロジックで形成されたライトスルー信号WTとをメモリのライトスルーモード20に入力する。これにより、ユーザーロジックからも、前記テスト動作と同様にメモリ入力02に入力された書き込み信号を前記FFを介してメモリセルアレイ19に書き込むとともに、それをスルーさせてそのまま出力03に出力させる動作を行うようにできる。   FIG. 4 shows a block diagram of another embodiment of the memory circuit of FIG. In this embodiment, an OR gate circuit OR is provided so that the write-through mode can be used even by a user function. The test signal TST and the write through signal WT formed by the user logic are input to the write through mode 20 of the memory through the gate circuit OR. As a result, the user logic also writes the write signal input to the memory input 02 to the memory cell array 19 via the FF and passes it through to the output 03 as it is, as in the test operation. You can

図5には、図1のメモリ回路の更に他の一実施例の構成図が示されている。この実施例では、テストモード21を設けて、メモリセルアレイ19をバイパスし、メモリセルアレイ19を通過した場合と同じだけの遅延素子23を通過した信号をセレクタ24により出力させるものである。つまり、メモリセルアレイ19の出力と遅延素子23の出力を切り替えるためのセレクタ24を設け、セレクト信号としてスキャンテスト時に常に遅延素子23側が選択されるようなテストモード21を入力させるものである。   FIG. 5 is a block diagram showing still another embodiment of the memory circuit of FIG. In this embodiment, the test mode 21 is provided, the memory cell array 19 is bypassed, and the selector 24 outputs a signal that has passed through the same number of delay elements 23 as when passing through the memory cell array 19. That is, a selector 24 for switching between the output of the memory cell array 19 and the output of the delay element 23 is provided, and a test mode 21 in which the delay element 23 side is always selected during a scan test is input as a select signal.

前記の実施例のような構成をとることにより、メモリの入力と出力をともにスキャンテストの対象とすることが出来る。これにより、通常のスキャンテストと同様の手法で、他のロジック部と同時にメモリの境界における遅延性故障の検出が可能である。つまり、回路の微細化や高速化が進められるに伴って半導体集積回路に形成される回路の動作マージンが益々小さくなる傾向にある。したがって、メモリ境界におけるかかる遅延性故障を検出することにより、高い信頼性を持つ半導体集積回路装置を得ることができる。   By adopting the configuration as in the above embodiment, both the input and output of the memory can be subjected to the scan test. As a result, it is possible to detect a delay fault at the boundary of the memory simultaneously with other logic units by a method similar to a normal scan test. In other words, the operation margin of the circuit formed in the semiconductor integrated circuit tends to become smaller as the circuit becomes finer and faster. Therefore, a semiconductor integrated circuit device having high reliability can be obtained by detecting such a delay fault at the memory boundary.

図6及び図7には、この発明に係るシステムLSIの一実施例の要部ブロック図が示されている。図6と図7とは同じ構成であるが、テスト動作時の信号伝播経路を実線で示し、テスト動作では使用しない信号伝播経路を点線で示している。つまり、図6ではロジック18に対応したFF01,FF04とFF11,FF12との間の遅延性故障を検出し、図7ではFF11,FF12とメモリ17との間での遅延性故障を検出するものである。   6 and 7 are main part block diagrams of an embodiment of the system LSI according to the present invention. Although FIG. 6 and FIG. 7 have the same configuration, the signal propagation path during the test operation is indicated by a solid line, and the signal propagation path not used in the test operation is indicated by a dotted line. That is, in FIG. 6, a delay fault between FF01, FF04 and FF11, FF12 corresponding to the logic 18 is detected, and in FIG. 7, a delay fault between the FF11, FF12 and the memory 17 is detected. is there.

図6及び図7に示すように、システムLSIとして構成は、前記同様にロジック18に対応して設けられたFF01、04と、メモリ用にメモリBIST回路を構成するFF13,FF14とがチェーン化されている。そして、メモリ17に対応して入力用FF11と出力用FF12とが設けられる。上記入力用FFの入力部にはセレクタ05が設けられ、出力用FFの入力部はセレクタ25が設けられる。上記セレクタ05は、ロジック18に対応したFF01の出力とメモリBIST回路のFF13に対応した出力のいずれかを選択する。セレクタ25は、上記FF11の出力02とメモリセルアレイの出力03のいずれかを選択する。   As shown in FIGS. 6 and 7, the system LSI is configured by chaining FF01 and 04 provided corresponding to the logic 18 in the same manner as described above, and FF13 and FF14 constituting the memory BIST circuit for the memory. ing. An input FF 11 and an output FF 12 are provided corresponding to the memory 17. A selector 05 is provided at the input section of the input FF, and a selector 25 is provided at the input section of the output FF. The selector 05 selects either the output of the FF01 corresponding to the logic 18 or the output corresponding to the FF13 of the memory BIST circuit. The selector 25 selects either the output 02 of the FF 11 or the output 03 of the memory cell array.

図6及び図7においては、実線と点線とで示されているように、FF12の出力がFF04とFF14のいずれかに選択的に伝えられるように示されているがいずれか1方に固定的に伝えるものでもよい。ただ、発明のテストモードの理解を容易にする上では、同図のように信号伝達経路を切り替えるようにすることが便利であり、同図のように出力信号を切り替えて伝えるなら上記同様なセレクタを設ければよい。上記メモリ17に対応したFF11,FF12もスキャンチェーン化される。   6 and 7, the output of the FF 12 is shown to be selectively transmitted to either the FF 04 or the FF 14 as indicated by a solid line and a dotted line, but is fixed to one of them. It may be something that tells. However, in order to facilitate the understanding of the test mode of the invention, it is convenient to switch the signal transmission path as shown in the figure, and if the output signal is switched and transmitted as shown in the figure, the same selector as above May be provided. The FF11 and FF12 corresponding to the memory 17 are also scan chained.

図6は、メモリ17との間で信号の入力と出力を行うFF11,12とロジック18に対応したFF01,FF04との間での遅延性故障を検出するための信号伝達経路を示している。つまり、セレクタ05はFF01側を選択し、セレクタ25はFF11側を選択している。そして、FF12の出力はロジック18に対応したFF04に伝えられる。この構成により、メモリ17に対して書き込み動作を行う。この書き込み動作により、FF01にスキャンインされたテスト信号は、内部クロックの1サイクルによってFF11に伝えられる。このFF1にスキャンインされたテスト信号は、内部クロックの1サイクルによってセレクタ25を通してFF12に伝えられる。そして、このFF12にスキャンインされたテスト信号は、内部クロックの1サイクルによってFF04に伝えられる。このような動作によって、ユーザのFF01からFF11への転送の遅延性故障および、FF12からユーザのFF04への転送の遅延性故障が検出可能となる。   FIG. 6 shows a signal transmission path for detecting a delay fault between the FFs 11 and 12 that input and output signals to and from the memory 17 and the FF01 and FF04 corresponding to the logic 18. That is, the selector 05 selects the FF01 side, and the selector 25 selects the FF11 side. Then, the output of the FF 12 is transmitted to the FF 04 corresponding to the logic 18. With this configuration, a write operation is performed on the memory 17. By this write operation, the test signal scanned into FF01 is transmitted to FF11 by one cycle of the internal clock. The test signal scanned into the FF1 is transmitted to the FF12 through the selector 25 by one cycle of the internal clock. The test signal scanned into the FF 12 is transmitted to the FF 04 by one cycle of the internal clock. By such an operation, it becomes possible to detect a delay failure in the transfer from the user FF01 to the FF11 and a delay failure in the transfer from the FF12 to the user FF04.

図7は、上記FF11,12とメモリ17との間での遅延性故障を検出するための信号伝達経路を示している。つまり、セレクタ05はFF13側を選択し、セレクタ25はメモリ出力03を選択している。そして、FF12の出力はメモリBIST回路のFF14に伝えられる。この構成により、メモリBIST回路からメモリ17に対して内部クロックに同期して書き込みと読み出しを行う。上記書き込み動作はメモリセルアレイに所定の記憶パターンを入力するために行われる。そして、読み出し動作により、上記記憶情報を順次に読み出す。このように実速度クロックによりメモリBIST動作を行うことで、FF11から組み込み型メモリ17の入力02への転送の遅延性故障および組み込み型メモリ17の出力03からFF12への転送の遅延性故障の検出が可能となる。つまり、実速度の内部クロックの1サイクルによって上記書き込み信号が正しく書き込まれ、それが正しく読み出されることの検出が可能になる。   FIG. 7 shows a signal transmission path for detecting a delay fault between the FFs 11 and 12 and the memory 17. That is, the selector 05 selects the FF 13 side, and the selector 25 selects the memory output 03. The output of the FF 12 is transmitted to the FF 14 of the memory BIST circuit. With this configuration, writing and reading are performed from the memory BIST circuit to the memory 17 in synchronization with the internal clock. The write operation is performed to input a predetermined storage pattern into the memory cell array. Then, the stored information is sequentially read by a read operation. By performing the memory BIST operation with the actual speed clock in this way, detection of a delay failure in transfer from the FF 11 to the input 02 of the embedded memory 17 and a delay failure in transfer from the output 03 of the embedded memory 17 to the FF 12 are detected. Is possible. That is, it is possible to detect that the write signal is correctly written and read correctly in one cycle of the internal clock at the actual speed.

この実施例の回路構成をとることにより、組み込み型メモリ17およびスキャンテストの手法に変更を加えずに、実速度のメモリBISTとスキャンテストとを実施することで、メモリの境界における遅延性故障の検出が可能になるものである。   By adopting the circuit configuration of this embodiment, the real-time memory BIST and the scan test are performed without changing the embedded memory 17 and the scan test method, so that a delay fault at the memory boundary can be prevented. Detection is possible.

図8及び図9には、この発明に係るシステムLSIの他の一実施例の要部ブロック図が示されている。図8と図9とは同じ構成であるが、前記同様にテスト動作時の信号伝播経路を実線で示し、テスト動作では使用しない信号伝播経路を点線で示している。つまり、図8ではメモリ17とロジック18に対応したFF04との間の読み出し系経路の遅延性故障を検出し、図9ではロジック18に対応したFF01とメモリ17との間の書き込み系経路の遅延性故障を検出するものである。   FIGS. 8 and 9 are main part block diagrams of another embodiment of the system LSI according to the present invention. Although FIG. 8 and FIG. 9 have the same configuration, the signal propagation path during the test operation is indicated by a solid line, and the signal propagation path that is not used in the test operation is indicated by a dotted line in the same manner as described above. That is, in FIG. 8, a delay failure of the read system path between the memory 17 and the FF 04 corresponding to the logic 18 is detected, and in FIG. 9, the delay of the write system path between the FF 01 corresponding to the logic 18 and the memory 17 is detected. Sexual failure is detected.

図8及び図9に示すように、システムLSIとして構成は、前記同様にロジック18に対応して設けられたFF01、04と、メモリ用にメモリBIST回路を構成するFF13,FF14とがチェーン化されている。そして、メモリ17のメモリ入力02に対応してセレクタ05が設けられ、メモリ17のメモリ出力03に対応してセレクタ06が設けられる。上記セレクタ05は、ロジック18に対応したFF01の出力とメモリBIST回路のFF13に対応した出力のいずれかを選択する。セレクタ06は、メモリ出力03をロジック18に対応したFF04とメモリBIST回路のFF14のいずれかへの伝達経路を選択する。   As shown in FIG. 8 and FIG. 9, the system LSI is configured by chaining FF01, 04 provided corresponding to the logic 18 and FF13, FF14 constituting the memory BIST circuit for the memory as described above. ing. A selector 05 is provided corresponding to the memory input 02 of the memory 17, and a selector 06 is provided corresponding to the memory output 03 of the memory 17. The selector 05 selects either the output of the FF01 corresponding to the logic 18 or the output corresponding to the FF13 of the memory BIST circuit. The selector 06 selects a transmission path of the memory output 03 to either the FF 04 corresponding to the logic 18 or the FF 14 of the memory BIST circuit.

図8及び図9においては、出力03をセレクタ06によって伝達経路を切り替えているが、FF04に固定的に伝える構成としてもよい。ただ、発明のテストモードの理解を容易にする上では、同図のように信号伝達経路を切り替えるようにすることが便利であり、同図のように出力信号を切り替えて伝えるセレクタ06が設けられる。組み込み型メモリ17には強制リードモード26が備わる。強制リードモード26がイネーブルの状態であると、組み込み型メモリ17は、常にデータ読み出しの状態となり、書き込みは行われない。ロジック部18はスキャン化されている。   8 and 9, the transmission path of the output 03 is switched by the selector 06, but a configuration in which the output 03 is transmitted to the FF 04 in a fixed manner may be employed. However, in order to facilitate understanding of the test mode of the invention, it is convenient to switch the signal transmission path as shown in the figure, and a selector 06 is provided for switching and transmitting the output signal as shown in the figure. . The embedded memory 17 has a forced read mode 26. When the forced read mode 26 is in an enabled state, the embedded memory 17 is always in a data reading state, and writing is not performed. The logic unit 18 is scanned.

メモリの境界における遅延性故障の検出には、メモリ17の読み出し動作と書き込み動作で分けられる。図8は、メモリの読み出し動作時の状態を示す。メモリ17の読み出し動作における遅延性故障の検出では、最初にメモリBIST回路10を利用して組み込み型メモリ17の全アドレスに対して任意のデータを書き込む。次に、組み込み型メモリ17に接続される強制リードモード26をイネーブルの状態にして実速度スキャンテストを行う。このときに使用するテストパターンは、最初の動作で組み込み型メモリ17に書き込んだデータを考慮したパターンとする。以上の動作により、組み込み型メモリ17の出力03から出力されたデータはユーザのFF04に入力されるため、メモリの境界の出力系、つまりは読み出し系経路における遅延性故障を検出することが可能となる。   Detection of a delay fault at a memory boundary is divided into a read operation and a write operation of the memory 17. FIG. 8 shows a state during a memory read operation. In detecting a delay fault in the read operation of the memory 17, first, arbitrary data is written to all addresses of the embedded memory 17 using the memory BIST circuit 10. Next, an actual speed scan test is performed with the forced read mode 26 connected to the embedded memory 17 enabled. The test pattern used at this time is a pattern that takes into account the data written in the embedded memory 17 in the first operation. With the above operation, since the data output from the output 03 of the embedded memory 17 is input to the user FF 04, it is possible to detect a delay fault in the output system at the boundary of the memory, that is, in the read system path. Become.

図9は、メモリの書き込み動作時の状態を示す。最初に実速度のスキャンテストを実施し、組み込み型メモリ17にデータを書き込んでいく。最終的に組み込み型メモリ17に書き込まれるデータは予め求めておく。次に、メモリBIST回路10を利用して、組み込み型メモリ17からデータを読み出し、予め求めておいたデータと比較する。データの比較には、例えば、MISR(Multiple-input signature register)を用いて圧縮する方法が考えられる。以上の動作により、実速度でのスキャンテストの際に、ユーザのFF01から出力されたデータは、組み込み型メモリ17の入力02のメモリセルに書き込まれるため、メモリの境界の入力、つまりは書き込み系経路における遅延性故障を検出することが可能となる。この実施例でも組み込み型メモリ17およびスキャンテストの手法に変更を加えずに、上記遅延性故障を検出することができるから遅延性故障を検出する方法としても有益である。   FIG. 9 shows a state during a memory write operation. First, an actual speed scan test is performed, and data is written into the embedded memory 17. Data to be finally written in the embedded memory 17 is obtained in advance. Next, using the memory BIST circuit 10, data is read from the embedded memory 17 and compared with data obtained in advance. For data comparison, for example, a compression method using MISR (Multiple-input signature register) can be considered. With the above operation, the data output from the user's FF01 during the scan test at the actual speed is written to the memory cell at the input 02 of the embedded memory 17, so that the memory boundary input, that is, the write system It becomes possible to detect a delay fault in the path. Also in this embodiment, the delay fault can be detected without changing the embedded memory 17 and the scan test technique, which is also useful as a method for detecting the delay fault.

前記実施例の構成をとることにより、組み込み型メモリ17およびロジック部18の設計手法を変更せずに、メモリBISTと実速度のスキャンテストを組み合わせることで、メモリの境界における遅延性故障の検出が可能になるものである。   By adopting the configuration of the above-described embodiment, it is possible to detect a delay fault at the boundary of the memory by combining the memory BIST and the actual speed scan test without changing the design method of the embedded memory 17 and the logic unit 18. It will be possible.

図10には、この発明に係る半導体集積回路装置に搭載される組み込み型メモリの一実施例の構成図が示されている。この実施例の組み込み型メモリ28には、テストモード30が備わる。テストモード30がイネーブルの状態であると、組み込み型メモリ28は、マルチビットFFとして機能する。この組み込み型メモリ28を、ロジック部18と同様にスキャン化する。つまり、スキャン化時には、入力データ33をスキャンイネーブル32でセレクトするセレクタ31を設ける。テストモード30を常にイネーブルの状態にして、実速度のスキャンテストを行うことつまり、内部クロックの1サイクル毎にデータd0が書き込まれたメモリセルの記憶情報が、次のサイクルではデータd1としてメモリセルに順次に伝えられるので、0〜nサイクルによってメモリ28における書き込み系経路及び読み出し系経路の遅延性故障の検出が可能となる。かかる構成をとることにより、実速度のスキャンテストを実施することで、メモリの境界における遅延性故障の検出が可能である。   FIG. 10 is a block diagram showing an embodiment of an embedded memory mounted in the semiconductor integrated circuit device according to the present invention. The embedded memory 28 of this embodiment has a test mode 30. When the test mode 30 is enabled, the embedded memory 28 functions as a multi-bit FF. The embedded memory 28 is scanned in the same manner as the logic unit 18. That is, at the time of scanning, a selector 31 for selecting the input data 33 with the scan enable 32 is provided. The test mode 30 is always enabled, and the actual speed scan test is performed. That is, the storage information of the memory cell in which the data d0 is written every cycle of the internal clock is stored as the data d1 in the next cycle. Therefore, it is possible to detect a delay failure in the write system path and the read system path in the memory 28 in 0 to n cycles. By adopting such a configuration, it is possible to detect a delay fault at the boundary of the memory by performing an actual speed scan test.

図11には、この発明に係る半導体集積回路装置に用いられる内部生成クロック制御回路の一実施例の構成図が示されている。この内部生成クロック制御回路は、スキャン動作のときには外部から供給されるシフトクロックSCLKと、スキャンテスト動作では内蔵PLL(クロック生成回路)で形成されたシステムクロック(実速度)CLKとに対応して選択的に内部クロックclkを形成する。つまり、スキャン動作では遅く速度のシフトクロックSCLKに対応してテスト信号をスキャンインし、かかるテスト信号により前記のような遅延性故障検出を含んだ回路試験は、上記高速なシステムクロックCLKに対応してスキャンテスト動作を行う。   FIG. 11 is a block diagram showing an embodiment of an internally generated clock control circuit used in the semiconductor integrated circuit device according to the present invention. This internally generated clock control circuit is selected according to the shift clock SCLK supplied from the outside during the scan operation and the system clock (actual speed) CLK formed by the built-in PLL (clock generation circuit) during the scan test operation. Thus, the internal clock clk is formed. That is, in the scan operation, the test signal is scanned in corresponding to the slow-speed shift clock SCLK, and the circuit test including the delay fault detection as described above by the test signal corresponds to the high-speed system clock CLK. Scan test operation.

上記のような高速なシステムクロックCLKと、それと非同期のシフトクロックSCLKとの切り替えを行うのが上記内部生成クロック制御回路である。この内部生成クロック制御回路は、スキャンテストモード信号SCTMがハイレベル(論理1)のときにゲート回路G4がゲートを開き、オアゲート回路G5を通してシフトクロックSCLKが内部クロックclkとして伝えられる。これにより、テスト信号を上記シフトクロックSCLKに同期して入力させることにより、前記スキャンチェーンを通してフリップフロップ回路FFに伝えられる。   The internally generated clock control circuit switches between the high-speed system clock CLK and the asynchronous shift clock SCLK. In the internally generated clock control circuit, when the scan test mode signal SCTM is at a high level (logic 1), the gate circuit G4 opens the gate, and the shift clock SCLK is transmitted as the internal clock clk through the OR gate circuit G5. Accordingly, the test signal is input in synchronization with the shift clock SCLK, and is transmitted to the flip-flop circuit FF through the scan chain.

上記システムクロックCLKに対応した内部クロックclkを形成するときには、上記スキャンテストモード信号SCTMがロウレベル(論理0)にされて、上記シフトクロックSCLKの入力が停止される。そして、キャプチャイネーブル(Capture enable) 信号CPENのハイレベルがクロックCLKの逆相で取り込まれ、上記スシテスムクロックと同じ周期の内部生成クロックclkが2サイクル出力される。つまり、内部生成クロックCLKの逆相が2つ入ると、上記キャプチャイネーブル信号CPENが2つのFFを通過し、ゲート回路G1を制御して内部生成クロックを止める。   When the internal clock clk corresponding to the system clock CLK is formed, the scan test mode signal SCTM is set to a low level (logic 0), and the input of the shift clock SCLK is stopped. Then, the high level of the capture enable signal CPEN is captured in the opposite phase of the clock CLK, and the internally generated clock clk having the same cycle as the system clock is output for two cycles. That is, when two opposite phases of the internally generated clock CLK are input, the capture enable signal CPEN passes through the two FFs, and controls the gate circuit G1 to stop the internally generated clock.

図12には、この発明に係る半導体集積回路装置に用いられるスキャンイネーブル生成回路の一実施例の構成図が示されている。この実施例では、シングルクロック及びダブルクロックでスキャンイネーブルの制御を切り替える構成として高速なシングルクロックを可能とする。上記内部クロックclkは、フリップフロップ回路SFFのクロック端子に供給される。このフリップフロップ回路SFFのデータ入力には、オアゲート回路G6が設けられる。このオアゲート回路G6の一方の入力には、チップスキャンイネーブル信号CSENが入力される。また、上記フリップフロップ回路SFFの出力信号がインバータ回路NV3を通して上記ゲート回路G6の他方の入力に帰還される。上記フリップフロップ回路SFFの出力は、アンドゲート回路G7に供給される。このアンドゲート回路G7は、シングルクロックモードとダブルクロックモードの切り替え信号S/Wが供給される。そして、このゲート回路G7の出力信号と上記チップスキャンイネーブル信号CSENがオアゲート回路G8を通してスキャンイネーブル信号SENとして出力される。   FIG. 12 is a block diagram showing an embodiment of a scan enable generation circuit used in the semiconductor integrated circuit device according to the present invention. In this embodiment, a high-speed single clock is enabled as a configuration in which scan enable control is switched between a single clock and a double clock. The internal clock clk is supplied to the clock terminal of the flip-flop circuit SFF. An OR gate circuit G6 is provided at the data input of the flip-flop circuit SFF. The chip scan enable signal CSEN is input to one input of the OR gate circuit G6. The output signal of the flip-flop circuit SFF is fed back to the other input of the gate circuit G6 through the inverter circuit NV3. The output of the flip-flop circuit SFF is supplied to the AND gate circuit G7. The AND gate circuit G7 is supplied with a switching signal S / W between a single clock mode and a double clock mode. The output signal of the gate circuit G7 and the chip scan enable signal CSEN are output as the scan enable signal SEN through the OR gate circuit G8.

図13には、図12のスキャンイネーブル生成回路の動作を説明するための波形図が示されている。シングルクロックモードでは、信号S/Wがハイレベルにされる。そして、図13(A)に示すように、チップスキャンイネーブル信号CSENがロウレベルにされてもクロックclkの1番目のパルスが到来するまでフリップフロップ回路SFFはハイレベル(論理1)を出力している。そのため、ゲート回路G6及びG8を通したスキャンイネーブル信号SENはハイレベルのままに維持される。つまり、前記図2のスキャンフリップフロップ回路FFの入力部に設けられたセレクタMUXは、スキャンインSI側を選択している。1番目のクロックclkが到来すると、上記フリップフロップ回路SFFの出力がロウレベルに変化し、それに対応して上記スキャンイネーブル信号SENがロウレベルにされる。2番目のクロックclkが到来すると、上記ロウレベル出力がインバータ回路NV3によって反転されて帰還されるので、上記フリップフロップ回路SFFの出力が再びハイレベルに変化し、それに対応して上記スキャンイネーブル信号SENもハイレベルにされる。   FIG. 13 is a waveform diagram for explaining the operation of the scan enable generation circuit of FIG. In the single clock mode, the signal S / W is set to the high level. As shown in FIG. 13A, even when the chip scan enable signal CSEN is set to the low level, the flip-flop circuit SFF outputs a high level (logic 1) until the first pulse of the clock clk arrives. . Therefore, the scan enable signal SEN that has passed through the gate circuits G6 and G8 is maintained at a high level. That is, the selector MUX provided in the input part of the scan flip-flop circuit FF in FIG. 2 selects the scan-in SI side. When the first clock clk arrives, the output of the flip-flop circuit SFF changes to low level, and the scan enable signal SEN is correspondingly changed to low level. When the second clock clk arrives, the low level output is inverted and fed back by the inverter circuit NV3, so that the output of the flip-flop circuit SFF changes to the high level again, and the scan enable signal SEN is also correspondingly High level.

この結果、1番目のクロックclkに同期して、スキャンチェーンのFFによりテスト信号の最終シフト動作が行われて、かかるテスト信号が論理段やメモリ等に伝えられる。論理段やメモリ等を通して伝えられた信号は、2番目のクロックclkに同期して後段側のFFに取り込まれる。この取り込まれた後段側の信号は、上記スキャンイネーブル信号SENがハイレベルにさているので、再びシフトクロックSCLKをロウレベルを供給することによりシリアルに出力される。   As a result, the final shift operation of the test signal is performed by the FF of the scan chain in synchronization with the first clock clk, and the test signal is transmitted to the logic stage, the memory, and the like. The signal transmitted through the logic stage, the memory, etc. is taken into the FF on the rear stage side in synchronization with the second clock clk. Since the scan enable signal SEN is set to the high level, the fetched downstream signal is serially output by supplying the shift clock SCLK to the low level again.

シングルクロックモードでは、信号S/Wがロウレベルにされる。この状態では、ゲート回路G7のゲートとが閉じられて、チップスキャンイネーブル信号CSENがロウレベルによりスキャンイネーブル信号SENがロウレベルにされる。チップスキャンイネーブル信号CSENのハイレベルによりスキャンイネーブル信号SENもハイレベルにされる。この構成では、前記図11のキャプチャイネーブル信号CPENに対応して2つのパルスclkを出力させる。このダブルクロックモードでは、スキャンチェーンのFFによりテスト信号の最終シフト動作も行われている。   In the single clock mode, the signal S / W is set to the low level. In this state, the gate of the gate circuit G7 is closed, and the scan enable signal SEN is set to low level by the chip scan enable signal CSEN being low level. The scan enable signal SEN is also set to high level by the high level of the chip scan enable signal CSEN. In this configuration, two pulses clk are output corresponding to the capture enable signal CPEN of FIG. In the double clock mode, the final shift operation of the test signal is also performed by the FF of the scan chain.

このダブルクロックモードでは、1回目のクロック印加前にスキャンイネーブルをキャプチャモード側とし、その後クロックを2回印加してテストを行う。スキャンイネーブルはテストサイクル内で切り替える必要がないためタイミングの問題を緩和できる。しかし、ダブルクロックモードでは、キャプチャモード時にクロック印加が2回あるため、テスト時2段の順序回路として扱う。このため、2段の論理段をうちいずれの論理段での故障かを直接認識できないから前記シングルクロックモードに比べ故障検出率が上がりにくくテストパターンが長大となる。   In the double clock mode, the scan enable is set to the capture mode side before the first clock application, and then the test is performed by applying the clock twice. Since scan enable does not need to be switched in the test cycle, timing problems can be alleviated. However, in the double clock mode, since the clock is applied twice in the capture mode, it is handled as a two-stage sequential circuit in the test. For this reason, since it is not possible to directly recognize which of the two logic stages is a failure, the failure detection rate is less likely to be higher than in the single clock mode, and the test pattern is long.

この実施例では、上記シングルクロックモードとダブルクロックモードの両方を備えることにより、シングルクロックモードでの前記遅延性故障検出を行い、それでは出来ないような補助的な故障検出をダブルクロックモードで行うようにすることにより、テストパターンが長大化を防ぎつつ、高い信頼性の遅延性故障検出を可能にするものである。   In this embodiment, by providing both the single clock mode and the double clock mode, the delay fault detection is performed in the single clock mode, and the auxiliary fault detection that cannot be performed in the single clock mode is performed in the double clock mode. By doing so, it is possible to detect a delayed failure with high reliability while preventing the test pattern from becoming long.

図14には、シングルクロックモードでのテスト動作の波形図が示されている。スキンイン動作はシフトクロックSCLKに同期して低速で半導体集積回路装置の外部からテスト信号が入力される。このスキンイン動作ではチップスキャンイネーブル信号CSENのロウレベルにより最終シフトの1つ前で止められる。そして、キャプチャイネーブル信号CPENをハイレベルにすることにより、システムクロックCLKに対応した高速クロックが2パルス出力される。このパルスclkに同期して前記のようにスキャンイネーブル信号SENが形成されて、上記システムクロックCLKに対応した実速度により遅延性故障の試験が実施される。   FIG. 14 shows a waveform diagram of the test operation in the single clock mode. In the skin-in operation, a test signal is input from the outside of the semiconductor integrated circuit device at a low speed in synchronization with the shift clock SCLK. This skin-in operation is stopped immediately before the final shift by the low level of the chip scan enable signal CSEN. Then, by setting the capture enable signal CPEN to a high level, two pulses of a high-speed clock corresponding to the system clock CLK are output. In synchronization with the pulse clk, the scan enable signal SEN is formed as described above, and a delay fault test is performed at an actual speed corresponding to the system clock CLK.

以上本発明者によってなされた発明を、前記実施形態に基づき具体的に説明したが、本発明は、前記実施形態に限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能である。メモリの境界における遅延性故障を検出するためのスキャンテスト動作は、前記シングルクロックモード又はダブルクロックモードのいずれか一方のみで行うものであってもよい。ただし、前記のように論理段での遅延性故障やメモリ単体での遅延性故障も検出しなければならないから、上記シングルクロックモードとダブルクロックモードの両方を備え、シングルクロックモードでの前記遅延性故障検出を行い、それでは出来ないような補助的な故障検出をダブルクロックモードで行うようにすることが便利である。この発明は、組み込み型メモリを搭載するシステムLSIのような半導体集積回路装置と、そのテスト方法として広く利用することができる。   Although the invention made by the inventor has been specifically described based on the above embodiment, the present invention is not limited to the above embodiment, and various modifications can be made without departing from the scope of the invention. The scan test operation for detecting a delay fault at the boundary of the memory may be performed only in either the single clock mode or the double clock mode. However, since the delay failure in the logic stage and the delay failure in the single memory must be detected as described above, both the single clock mode and the double clock mode are provided, and the delay property in the single clock mode is provided. It is convenient to perform fault detection and to perform auxiliary fault detection in the double clock mode that cannot be performed. The present invention can be widely used as a semiconductor integrated circuit device such as a system LSI equipped with an embedded memory and a test method thereof.

この発明に係る半導体集積回路装置の一実施例を示す要部ブロック図である。1 is a principal block diagram showing an embodiment of a semiconductor integrated circuit device according to the present invention. 図1のスキャンチェーン化されるFFの一実施例を示すブロック図である。It is a block diagram which shows one Example of FF used as the scan chain of FIG. 図1のメモリ内FFの一実施例を示すブロック図である。It is a block diagram which shows one Example of in-memory FF of FIG. 図1のメモリ回路の他の一実施例を示す構成図である。FIG. 3 is a configuration diagram illustrating another embodiment of the memory circuit of FIG. 1. 図1のメモリ回路の更に他の一実施例を示す構成図である。FIG. 6 is a configuration diagram showing still another embodiment of the memory circuit of FIG. 1. この発明に係るシステムLSIの一実施例を示す要部ブロック図である。It is a principal part block diagram which shows one Example of the system LSI which concerns on this invention. この発明に係るシステムLSIの一実施例を示す要部ブロック図である。It is a principal part block diagram which shows one Example of the system LSI which concerns on this invention. この発明に係るシステムLSIの他の一実施例を示す要部ブロック図である。It is a principal part block diagram which shows another Example of the system LSI which concerns on this invention. この発明に係るシステムLSIの他の一実施例を示す要部ブロック図である。It is a principal part block diagram which shows another Example of the system LSI which concerns on this invention. この発明に係る半導体集積回路装置に搭載される組み込み型メモリの一実施例を示す構成図である。1 is a configuration diagram showing an embodiment of an embedded memory mounted in a semiconductor integrated circuit device according to the present invention. この発明に係る半導体集積回路装置に用いられる内部生成クロック制御回路の一実施例を示す構成図である。1 is a block diagram showing an embodiment of an internally generated clock control circuit used in a semiconductor integrated circuit device according to the present invention. この発明に係る半導体集積回路装置に用いられるスキャンイネーブル生成回路の一実施例を示す構成図である。1 is a block diagram showing an embodiment of a scan enable generation circuit used in a semiconductor integrated circuit device according to the present invention. 図12のスキャンイネーブル生成回路の動作を説明するための波形図である。FIG. 13 is a waveform diagram for explaining the operation of the scan enable generation circuit of FIG. 12. シングルクロックモードでのテスト動作の波形図である。It is a wave form diagram of the test operation in single clock mode. 本願発明に先立って検討された組み込み型メモリを搭載するシステムLSIの構成図である。It is a block diagram of a system LSI equipped with an embedded memory studied prior to the present invention. 本願発明に先立って検討された組み込み型メモリを搭載するシステムLSIの構成図である。It is a block diagram of a system LSI equipped with an embedded memory studied prior to the present invention.

符号の説明Explanation of symbols

01,04,13,14,22…FF(フリップフロップ回路)、05,06,24,31…セレクタ、17…メモリ、18…ロジック、19…メモリセルアレイ、23…遅延段、MFF…マスターフリップフロップ回路、SFF…スレーブフリップフロップ回路、G1〜G8…ゲート回路、NV1〜NV3…インバータ回路。   01, 04, 13, 14, 22 ... FF (flip-flop circuit), 05, 06, 24, 31 ... selector, 17 ... memory, 18 ... logic, 19 ... memory cell array, 23 ... delay stage, MFF ... master flip-flop Circuit, SFF ... Slave flip-flop circuit, G1-G8 ... Gate circuit, NV1-NV3 ... Inverter circuit.

Claims (11)

論理回路と、
上記論理回路によりメモリアクセスが行われるメモリ回路と、
上記論理回路及びメモリ回路の故障を検出するスキャンチェーンを含むテスト回路とを備え、
上記スキャンチェーンは、第1、第2、第3及び第4フリップフロップ回路を含み、
上記第1フリップフロップ回路及び第3フリップフロップ回路は、第1テストモードでのスキャンイン動作のときに上記メモリ回路用の第1テスト信号及び第3テスト信号をそれぞれ取り込み、
上記第2フリップフロップ回路は、第2テストモードでのスキャンイン動作のときに上記メモリ回路用の第2テスト信号を取り込み、
上記第1テストモードにおいて、上記第1フリップフロップ回路に取り込まれた上記第1テスト信号は、内部クロックに同期して第1セレクタを通して上記第3フリップフロップ回路に取り込まれ、上記第3フリップフロップ回路に取り込まれた上記第3テスト信号は、上記内部クロックに同期して上記メモリ回路にメモリアクセスの入力信号として伝えられ、
上記第2テストモードにおいて、上記第2フリップフロップ回路に取り込まれた第2テスト信号は、上記内部クロックに同期して上記第1セレクタを通して上記第3フリップフロップ回路に取り込まれ、上記第3フリップフロップ回路に保持されていた信号は、上記内部クロックに同期して上記メモリ回路にメモリアクセスの入力信号として伝えられ、
上記第4フリップフロップ回路は、上記第1テストモード及び第2テストモードのときに上記メモリ回路からの出力信号を上記内部クロックに同期して取り込み、
上記メモリ回路は、上記第1テストモードでの上記内部クロックに同期した書き込み動作のときに、上記第3フリップフロップ回路により形成された書き込み信号と同じ信号を上記第4フリップフロップ回路に伝えるテスト信号経路を備えることを特徴とする半導体集積回路装置。
Logic circuit;
A memory circuit in which memory access is performed by the logic circuit;
A test circuit including a scan chain for detecting a failure of the logic circuit and the memory circuit,
The scan chain includes first, second, third and fourth flip-flop circuits,
The first flip-flop circuit and the third flip-flop circuit respectively take in the first test signal and the third test signal for the memory circuit during the scan-in operation in the first test mode,
The second flip-flop circuit captures the second test signal for the memory circuit during the scan-in operation in the second test mode,
In the first test mode, the first test signal taken into the first flip-flop circuit is taken into the third flip-flop circuit through the first selector in synchronization with an internal clock, and the third flip-flop circuit The third test signal taken in is transmitted as an input signal for memory access to the memory circuit in synchronization with the internal clock,
In the second test mode, the second test signal taken into the second flip-flop circuit is taken into the third flip-flop circuit through the first selector in synchronization with the internal clock, and the third flip-flop circuit The signal held in the circuit is transmitted as an input signal for memory access to the memory circuit in synchronization with the internal clock,
The fourth flip-flop circuit captures an output signal from the memory circuit in synchronization with the internal clock in the first test mode and the second test mode,
The memory circuit is a test signal for transmitting the same signal as the write signal formed by the third flip-flop circuit to the fourth flip-flop circuit during a write operation in synchronization with the internal clock in the first test mode. A semiconductor integrated circuit device comprising a path.
請求項1において、
上記テスト信号経路は、上記第1テストモードのときに動作状態にされて、上記メモリセルに書き込まれる信号を出力する動作を行う読み出し系回路であることを特徴とするとする半導体集積回路装置。
In claim 1,
2. The semiconductor integrated circuit device according to claim 1, wherein the test signal path is a read circuit that performs an operation of outputting a signal written in the memory cell when the test signal path is in an operation state in the first test mode.
請求項1において、
上記テスト信号経路は、
上記第1テストモードのときに上記第3フリップフロップ回路により形成された書き込み信号を上記メモリ回路のメモリセルアレイでの信号遅延時間と同等の遅延時間を持って上記第4フリップフロップ回路に伝える遅延回路と、
上記遅延回路の出力信号と上記メモリセルアレイからの出力信号とを動作モードに応じて切り替える第2セレクタとを備えることを特徴とする半導体集積回路装置。
In claim 1,
The test signal path is
A delay circuit for transmitting a write signal formed by the third flip-flop circuit to the fourth flip-flop circuit in the first test mode with a delay time equivalent to a signal delay time in the memory cell array of the memory circuit. When,
A semiconductor integrated circuit device comprising: a second selector that switches between an output signal of the delay circuit and an output signal from the memory cell array according to an operation mode.
請求項1において、
PLL回路を更に備え、
上記内部クロックは、上記PLL回路で形成されたものであることを特徴とする半導体集積回路装置。
In claim 1,
A PLL circuit;
The semiconductor integrated circuit device, wherein the internal clock is formed by the PLL circuit.
論理回路と、
上記論理回路によりメモリアクセスが行われるメモリ回路と、
上記論理回路及びメモリ回路の故障を検出するスキャンチェーンを含むテスト回路とを備え、
上記スキャンチェーンは、第1、第2、第3及び第4フリップフロップ回路を含み、
上記第1フリップフロップ回路及び第3フリップフロップ回路は、第1テストモードでのスキャンイン動作のときに上記メモリ回路用の第1テスト信号及び第3テスト信号をそれぞれ取り込み、
上記第2フリップフロップ回路は、第2テストモードでのスキャンイン動作のときに上記メモリ回路用の第2テスト信号を取り込み、
上記第1テストモードにおいて、上記第1フリップフロップ回路に取り込まれた上記第1テスト信号は、内部クロックに同期して第1セレクタを通して上記第3フリップフロップ回路に取り込まれ、上記第3フリップフロップ回路に取り込まれた上記第3テスト信号は、上記内部クロックに同期して上記メモリ回路にメモリアクセスの入力信号として伝えられ、
上記第2テストモードにおいて、上記第2フリップフロップ回路に取り込まれた第2テスト信号は、上記内部クロックに同期して上記第1セレクタを通して上記第3フリップフロップ回路に取り込まれ、上記第3フリップフロップ回路に保持されていた信号は、上記内部クロックに同期して上記メモリ回路にメモリアクセスの入力信号として伝えられ、
上記第4フリップフロップ回路は、上記内部クロックに同期して上記第1テストモードのときに第2セレクタを通して上記第3フリップフロップ回路の出力信号を取り込み、上記第2テストモードのときに上記第2セレクタを通して上記メモリセルアレイの出力信号を取り込むことを特徴とする半導体集積回路装置。
Logic circuit;
A memory circuit in which memory access is performed by the logic circuit;
A test circuit including a scan chain for detecting a failure of the logic circuit and the memory circuit,
The scan chain includes first, second, third and fourth flip-flop circuits,
The first flip-flop circuit and the third flip-flop circuit respectively take in the first test signal and the third test signal for the memory circuit during the scan-in operation in the first test mode,
The second flip-flop circuit captures the second test signal for the memory circuit during the scan-in operation in the second test mode,
In the first test mode, the first test signal taken into the first flip-flop circuit is taken into the third flip-flop circuit through the first selector in synchronization with an internal clock, and the third flip-flop circuit The third test signal taken in is transmitted as an input signal for memory access to the memory circuit in synchronization with the internal clock,
In the second test mode, the second test signal taken into the second flip-flop circuit is taken into the third flip-flop circuit through the first selector in synchronization with the internal clock, and the third flip-flop circuit The signal held in the circuit is transmitted as an input signal for memory access to the memory circuit in synchronization with the internal clock,
The fourth flip-flop circuit captures an output signal of the third flip-flop circuit through the second selector in the first test mode in synchronization with the internal clock, and the second flip-flop circuit in the second test mode. A semiconductor integrated circuit device which takes in an output signal of the memory cell array through a selector.
請求項5において、
PLL回路を更に備え、
上記内部クロックは、上記PLL回路で形成されたものであることを特徴とする半導体集積回路装置。
In claim 5,
A PLL circuit;
The semiconductor integrated circuit device, wherein the internal clock is formed by the PLL circuit.
論理回路と、
上記論理回路によりメモリアクセスが行われるメモリ回路と、
上記論理回路及びメモリ回路の故障を検出するスキャンチェーンを含むテスト回路とを備え、
上記スキャンチェーンは、第1及び第2フリップフロップ回路を含み、
上記第1フリップフロップ回路は、第1テストモードでのスキャンイン動作のときに上記メモリ回路用の第1テスト信号を取り込み、
上記第2フリップフロップ回路は、第2テストモードでのスキャンイン動作のときに上記メモリ回路用の第2テスト信号を取り込み、
上記第1フリップフロップ回路に取り込まれた信号は、上記第1テストモードのときには第1セレクタを通して内部クロックに同期して上記メモリ回路に伝えられ、
上記第2フリップフロップ回路に取り込まれた信号は、上記第2テストモードのときには上記第1セレクタを通して上記内部クロックに同期して上記メモリ回路に伝えられ、
上記メモリ回路は、上記第1テストモードでの読み出し信号を上記第1又は第2フリップフロップ回路に伝えることを特徴とする半導体集積回路装置。
Logic circuit;
A memory circuit in which memory access is performed by the logic circuit;
A test circuit including a scan chain for detecting a failure of the logic circuit and the memory circuit,
The scan chain includes first and second flip-flop circuits,
The first flip-flop circuit captures the first test signal for the memory circuit during the scan-in operation in the first test mode,
The second flip-flop circuit captures the second test signal for the memory circuit during the scan-in operation in the second test mode,
The signal taken into the first flip-flop circuit is transmitted to the memory circuit through the first selector in synchronization with the internal clock in the first test mode,
The signal fetched by the second flip-flop circuit is transmitted to the memory circuit in synchronization with the internal clock through the first selector in the second test mode.
The semiconductor integrated circuit device, wherein the memory circuit transmits a read signal in the first test mode to the first or second flip-flop circuit.
請求項7において、
上記第1フリップフロップ回路は、上記論理回路のテストモードにも用いられることを特徴とする半導体集積回路装置。
In claim 7,
The semiconductor integrated circuit device, wherein the first flip-flop circuit is also used in a test mode of the logic circuit.
論理回路と、
上記論理回路によりメモリアクセスが行われ、複数ビットの書き込み用ノード及び読み出し信号用ノードを有するメモリ回路と、
上記論理回路及びメモリ回路の故障を検出するスキャンチェーンを含むテスト回路とを備え、
上記スキャンチェーンは、上記メモリ回路の書き込みテストモードのときに上記読み出し用ノードの出力の1つを上記書き込み用ノードの1つに順次に伝え、通常動作のときには上記論理回路からの信号をそれぞれ伝えるセレクタを含み、
上記メモリ回路は、上記内部クロックに同期したテストモードのときに、上記書き込み信号と同じ信号を上記読み出し用ノードに伝える出力動作を備えることを特徴とする半導体集積回路装置。
Logic circuit;
Memory access is performed by the logic circuit, and a memory circuit having a plurality of bits of a write node and a read signal node;
A test circuit including a scan chain for detecting a failure of the logic circuit and the memory circuit,
The scan chain sequentially transmits one of the outputs of the read node to one of the write nodes in the write test mode of the memory circuit, and transmits a signal from the logic circuit in a normal operation. Including selectors,
2. The semiconductor integrated circuit device according to claim 1, wherein the memory circuit includes an output operation for transmitting the same signal as the write signal to the read node in a test mode synchronized with the internal clock.
論理回路と、
上記論理回路によりメモリアクセスが行われるメモリ回路と、
上記論理回路及びメモリ回路の故障を検出する第1フリップフロップ回路及び第2フリップフロップ回路を含むスキャンチェーンを有するテスト回路とを備えた半導体集積回路装置のテスト方法であって、
外部から供給されるスキャン用クロックに同期した第1スキャンイン動作により上記第1フリップフロップ回路に対して上記メモリ回路用の第1テスト信号を入力し、かかる第1テスト信号により内部クロックに同期して上記メモリ回路の書き込み及び読み出し動作を行い、読み出し動作の出力信号を上記第1又は第2フリップフロップ回路に伝える第1テスト動作を行い、上記スキャン用クロックに同期した第1スキャンアウト動作により読み出して期待値と比較し、
上記スキャン用クロックに同期した第2スキャンイン動作により上記第2フリップフロップ回路に対して上記メモリ回路用の第2テスト信号を入力し、かかる第2テスト信号により内部クロックに同期して上記メモリ回路の書き込み及び読み出し動作を行い、読み出し動作の出力信号を上記第1又は第2フリップフロップ回路に伝える第2テスト動作を行い、上記スキャン用クロックに同期したスキャンアウト動作により読み出して期待値と比較し、
上記第1テストモード及び第2テストモードの組み合わせにより上記論理回路とメモリ回路との間の遅延性故障を検出してなることを特徴とする半導体集積回路装置のテスト方法。
Logic circuit;
A memory circuit in which memory access is performed by the logic circuit;
A test method for a semiconductor integrated circuit device comprising a test circuit having a scan chain including a first flip-flop circuit and a second flip-flop circuit for detecting a failure of the logic circuit and the memory circuit,
A first test signal for the memory circuit is input to the first flip-flop circuit by a first scan-in operation synchronized with a scan clock supplied from outside, and the first test signal is synchronized with the internal clock by the first test signal. The memory circuit performs writing and reading operations, performs a first test operation for transmitting an output signal of the reading operation to the first or second flip-flop circuit, and performs reading by a first scan-out operation synchronized with the scan clock. Compare with the expected value,
A second test signal for the memory circuit is input to the second flip-flop circuit by a second scan-in operation synchronized with the scan clock, and the memory circuit is synchronized with the internal clock by the second test signal. The second test operation is performed to transmit the output signal of the read operation to the first or second flip-flop circuit, and the read-out operation is synchronized with the scan clock and compared with the expected value. ,
A test method for a semiconductor integrated circuit device, comprising detecting a delay fault between the logic circuit and the memory circuit by a combination of the first test mode and the second test mode.
請求項10において、
上記半導体集積回路装置は、PLL回路を内蔵し、
上記内部クロックは、上記内蔵PLL回路により形成されたものであることを特徴とする半導体集積回路装置のテスト方法。
In claim 10,
The semiconductor integrated circuit device includes a PLL circuit,
A test method for a semiconductor integrated circuit device, wherein the internal clock is formed by the built-in PLL circuit.
JP2005164911A 2005-06-06 2005-06-06 Semiconductor integrated circuit device Expired - Fee Related JP4707053B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005164911A JP4707053B2 (en) 2005-06-06 2005-06-06 Semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005164911A JP4707053B2 (en) 2005-06-06 2005-06-06 Semiconductor integrated circuit device

Publications (2)

Publication Number Publication Date
JP2006337289A true JP2006337289A (en) 2006-12-14
JP4707053B2 JP4707053B2 (en) 2011-06-22

Family

ID=37557964

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005164911A Expired - Fee Related JP4707053B2 (en) 2005-06-06 2005-06-06 Semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP4707053B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009210449A (en) * 2008-03-05 2009-09-17 Fujitsu Microelectronics Ltd Semiconductor integrated circuit
JP5158087B2 (en) * 2007-09-20 2013-03-06 富士通株式会社 Semiconductor integrated circuit device and test method for semiconductor integrated circuit device
JP2013242293A (en) * 2012-04-23 2013-12-05 Fujitsu Semiconductor Ltd Semiconductor device
US10261127B2 (en) 2017-02-21 2019-04-16 Kabushiki Kaisha Toshiba Semiconductor integrated circuit
JP2019169221A (en) * 2018-03-23 2019-10-03 ルネサスエレクトロニクス株式会社 Semiconductor device
FR3110261A1 (en) * 2020-05-18 2021-11-19 Idemia Starchip METHOD AND SYSTEM FOR TESTING AN INTEGRATED CIRCUIT

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08201481A (en) * 1995-01-27 1996-08-09 Internatl Business Mach Corp <Ibm> Semiconductor integrated circuit
JPH1062501A (en) * 1996-04-23 1998-03-06 Matsushita Electric Ind Co Ltd Method and device for testing semiconductor integrated circuit device
JP2003121508A (en) * 2001-10-12 2003-04-23 Matsushita Electric Ind Co Ltd Burn-in testing circuit of semiconductor integration circuit, and method therefor
JP2003331600A (en) * 2002-05-14 2003-11-21 Matsushita Electric Ind Co Ltd Semiconductor test circuit and method for testing semiconductor
JP2004012374A (en) * 2002-06-10 2004-01-15 Matsushita Electric Ind Co Ltd Test system, inspection method, and scan test pattern preparation method for semiconductor integrated circuit
JP2004053341A (en) * 2002-07-18 2004-02-19 Kawasaki Microelectronics Kk Semiconductor integrated circuit and its design method
JP2004206751A (en) * 2002-12-24 2004-07-22 Matsushita Electric Ind Co Ltd Semiconductor device
JP2004212384A (en) * 2002-12-16 2004-07-29 Renesas Technology Corp Semiconductor integrated circuit device
JP2004251684A (en) * 2003-02-19 2004-09-09 Matsushita Electric Ind Co Ltd Semiconductor device
JP2004279310A (en) * 2003-03-18 2004-10-07 Kawasaki Microelectronics Kk Semiconductor integrated circuit
JP2005024410A (en) * 2003-07-03 2005-01-27 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit device

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08201481A (en) * 1995-01-27 1996-08-09 Internatl Business Mach Corp <Ibm> Semiconductor integrated circuit
JPH1062501A (en) * 1996-04-23 1998-03-06 Matsushita Electric Ind Co Ltd Method and device for testing semiconductor integrated circuit device
JP2003121508A (en) * 2001-10-12 2003-04-23 Matsushita Electric Ind Co Ltd Burn-in testing circuit of semiconductor integration circuit, and method therefor
JP2003331600A (en) * 2002-05-14 2003-11-21 Matsushita Electric Ind Co Ltd Semiconductor test circuit and method for testing semiconductor
JP2004012374A (en) * 2002-06-10 2004-01-15 Matsushita Electric Ind Co Ltd Test system, inspection method, and scan test pattern preparation method for semiconductor integrated circuit
JP2004053341A (en) * 2002-07-18 2004-02-19 Kawasaki Microelectronics Kk Semiconductor integrated circuit and its design method
JP2004212384A (en) * 2002-12-16 2004-07-29 Renesas Technology Corp Semiconductor integrated circuit device
JP2004206751A (en) * 2002-12-24 2004-07-22 Matsushita Electric Ind Co Ltd Semiconductor device
JP2004251684A (en) * 2003-02-19 2004-09-09 Matsushita Electric Ind Co Ltd Semiconductor device
JP2004279310A (en) * 2003-03-18 2004-10-07 Kawasaki Microelectronics Kk Semiconductor integrated circuit
JP2005024410A (en) * 2003-07-03 2005-01-27 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5158087B2 (en) * 2007-09-20 2013-03-06 富士通株式会社 Semiconductor integrated circuit device and test method for semiconductor integrated circuit device
JP2009210449A (en) * 2008-03-05 2009-09-17 Fujitsu Microelectronics Ltd Semiconductor integrated circuit
JP2013242293A (en) * 2012-04-23 2013-12-05 Fujitsu Semiconductor Ltd Semiconductor device
US9234939B2 (en) 2012-04-23 2016-01-12 Socionext Inc. Semiconductor device
US10261127B2 (en) 2017-02-21 2019-04-16 Kabushiki Kaisha Toshiba Semiconductor integrated circuit
JP2019169221A (en) * 2018-03-23 2019-10-03 ルネサスエレクトロニクス株式会社 Semiconductor device
JP6991910B2 (en) 2018-03-23 2022-01-13 ルネサスエレクトロニクス株式会社 Semiconductor device
FR3110261A1 (en) * 2020-05-18 2021-11-19 Idemia Starchip METHOD AND SYSTEM FOR TESTING AN INTEGRATED CIRCUIT

Also Published As

Publication number Publication date
JP4707053B2 (en) 2011-06-22

Similar Documents

Publication Publication Date Title
US7007215B2 (en) Test circuit capable of testing embedded memory with reliability
KR100745374B1 (en) Multi-port semiconductor memory device and method for signals input/output therefore
TWI540584B (en) Semiconductor memory device, test circuit, and test operation method thereof
TWI550626B (en) Semiconductor memory device, test circuit, and test operation method thereof
US7793183B2 (en) Microcomputer and method of testing the same
JP4707053B2 (en) Semiconductor integrated circuit device
US7363555B2 (en) Memory cell test circuit for use in semiconductor memory device and its method
JP2001006395A (en) Semiconductor memory device and reading method at its test mode
JP2004055030A (en) Semiconductor circuit device
JP2006329737A (en) Semiconductor integrated circuit device and its test method
JP4437986B2 (en) Semiconductor integrated circuit device, interface test control circuit, and test method
US10677844B2 (en) Semiconductor device and test method for semiconductor device
TWI435095B (en) Scan chain cell with delay testing capability
TWI550623B (en) Semiconductor memory device, test circuit, and test operation method thereof
US8050135B2 (en) Semiconductor memory device
US7257752B2 (en) Circuit and method for performing built-in self test and computer readable recording medium for storing program thereof
US20090268626A1 (en) Semiconductor integrated circuit with test mode
US7539598B2 (en) Semiconductor test apparatus and method thereof and multiplexer and method thereof
KR20070066185A (en) Parallel bit test circuit in semiconductor memory device having common data line
US10026502B2 (en) Method and memory controller
JP2614413B2 (en) Integrated circuit
JP2014006951A (en) Semiconductor device, method for testing semiconductor device, and method for manufacturing semiconductor device
JP2001093300A (en) Synchronous memory device recording history of input signal
JP2000147066A (en) Semiconductor integrated circuit device
KR20070022542A (en) Path data transmission unit

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080528

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100511

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100728

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100924

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110309

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110309

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees