JP2006196821A - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP2006196821A
JP2006196821A JP2005008854A JP2005008854A JP2006196821A JP 2006196821 A JP2006196821 A JP 2006196821A JP 2005008854 A JP2005008854 A JP 2005008854A JP 2005008854 A JP2005008854 A JP 2005008854A JP 2006196821 A JP2006196821 A JP 2006196821A
Authority
JP
Japan
Prior art keywords
fin
type semiconductor
semiconductor region
gate electrode
side surfaces
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005008854A
Other languages
Japanese (ja)
Inventor
Masaki Okuno
昌樹 奥野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2005008854A priority Critical patent/JP2006196821A/en
Priority to US11/123,145 priority patent/US7282766B2/en
Publication of JP2006196821A publication Critical patent/JP2006196821A/en
Priority to US11/896,826 priority patent/US7396710B2/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Abstract

<P>PROBLEM TO BE SOLVED: To reduce contact resistance in the source/drain of a field effect transistor having a fin type structure. <P>SOLUTION: In a method for manufacturing a semiconductor device: an insulating gate electrode structure having second height that is higher than first one is formed on a fin-type semiconductor region at the first height, and a sidewall insulating film is completely removed from the side of the fin-type semiconductor region by anisotropic etching and is removed by etching from the upper portion of an upper section while the upper and lower sections of both the sides of the gate electrode remain so that the upper and side surfaces of the fin-type semiconductor region are surrounded by the sidewall insulating film on both the sides of the gate electrode near the fin-type semiconductor region; and the silicide layer is formed from an upper edge to a lower one on at least exposed both sides in the fin-type semiconductor region. After an interlayer insulating film is formed, a contact hole, which exposes the silicide layer on both the sides of the fin-type semiconductor region, is formed for burying a conductive plug. <P>COPYRIGHT: (C)2006,JPO&amp;NCIPI

Description

本発明は、半導体装置とその製造方法に関し、特にフィン型構造を持つ電界効果トランジスタを含む半導体装置とその製造方法に関する。
なお、フィン型構造を持つ電界効果トランジスタとは、一般的にFin−FETまたはダブルゲートFin−FETと呼ばれ、基板の表面に対してチャネルの面が垂直になっている3次元型の電界効果トランジスタであって、基板の面に対して垂直な薄い壁(フィン)状の突起があり、フィンの両側面上にゲート絶縁膜、ゲート電極が形成され、ゲート両側のフィンにソース/ドレイン領域が形成されている構造を有する。
The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to a semiconductor device including a field effect transistor having a fin-type structure and a manufacturing method thereof.
Note that a field effect transistor having a fin-type structure is generally called a Fin-FET or a double-gate Fin-FET, and a three-dimensional field effect in which the channel surface is perpendicular to the surface of the substrate. A transistor having thin wall (fin) projections perpendicular to the surface of the substrate, a gate insulating film and a gate electrode are formed on both side surfaces of the fin, and source / drain regions are formed on the fins on both sides of the gate. It has a formed structure.

フィン型構造を持つ電界効果トランジスタは、チャネル面を基板表面に垂直に配置するため、基板上の占有面積を低減でき、誘電体分離を容易とし、微細化、高速動作化に対する適応性が高い。絶縁膜上にシリコン層を配置したSOI(semiconductor on insulator)基板のシリコン層上に酸化膜や、酸化膜/窒化膜積層等のキャップ層を設け、パターニングしてシリコンのフィンを形成する。フィン表面に酸化シリコン、窒化酸化シリコン等のゲート絶縁膜を形成した後、ポリシリコン層を堆積し、パターニングして絶縁ゲート電極を形成する。ゲート電極両側のフィン領域をドープしてソース/ドレイン領域を形成すれば、基本的なFET構造を形成できる。   A field effect transistor having a fin-type structure has a channel surface arranged perpendicular to the substrate surface, so that the occupied area on the substrate can be reduced, dielectric separation is facilitated, and adaptability to miniaturization and high-speed operation is high. An oxide film or a cap layer such as an oxide film / nitride film stack is provided on a silicon layer of an SOI (semiconductor on insulator) substrate in which a silicon layer is arranged on an insulating film, and patterned to form silicon fins. After forming a gate insulating film such as silicon oxide or silicon nitride oxide on the fin surface, a polysilicon layer is deposited and patterned to form an insulated gate electrode. If the source / drain regions are formed by doping the fin regions on both sides of the gate electrode, a basic FET structure can be formed.

Fin−FETの構成例を、図3に示す。図3において、SOI基板のシリコン層をパターニングして、フィン51とその両側で幅を広げたコンタクト領域52,53が形成される。シリコン層の上にはキャップ層61が残る。フィン側壁に犠牲酸化膜を形成し、除去した後ゲート絶縁膜62を酸化、窒化などにより形成する。基板上にポリシリコン層を堆積し、パターニングしてゲート電極71を形成する。ゲート電極71の端部には幅を広げたコンタクト領域72が形成される。イオン注入などで不純物を添加してソース/ドレインを形成する。層間絶縁膜でトランジスタ構造を埋め込んだ後、コンタクト領域に達するコンタクトホールを開口し、タングステンプラグ等の導電性プラグ80をコンタクトホール内に埋め込む。ゲート電極をポリシリコン層とシリサイド層の積層とすることによりゲート抵抗を低減化することもできる。
Fu-Liang Yang et al.; 2002 Symposium onVLSI Technology Digest of Technical Papers, p.104、2002 Bin Yu et al.; IEDM Tech, Dig. p.251, 2002 Fin−FETのチャネルは、ゲート絶縁膜を介してゲート電極と対向する側面に形成される。チャネル長は、ゲート電極(ポリシリコン層)の幅で決定される。チャネル幅はフィンの高さで決定される。フィンの長さはプロセス精度などによって決定されるが、幅狭のソース/ドレインの引き出し部は、ソース/ドレインの抵抗を高くする。フィンの端部を拡げず、フィンを切断して金属層を埋め込み、ショットキコンタクトを形成する提案もある。
An example of the configuration of the Fin-FET is shown in FIG. In FIG. 3, the silicon layer of the SOI substrate is patterned to form the fins 51 and contact regions 52 and 53 whose widths are widened on both sides thereof. The cap layer 61 remains on the silicon layer. After the sacrificial oxide film is formed on the fin sidewall and removed, the gate insulating film 62 is formed by oxidation, nitridation, or the like. A polysilicon layer is deposited on the substrate and patterned to form the gate electrode 71. A contact region 72 having an increased width is formed at the end of the gate electrode 71. Impurities are added by ion implantation or the like to form the source / drain. After the transistor structure is embedded with an interlayer insulating film, a contact hole reaching the contact region is opened, and a conductive plug 80 such as a tungsten plug is embedded in the contact hole. The gate resistance can be reduced by stacking the polysilicon layer and the silicide layer as the gate electrode.
Fu-Liang Yang et al .; 2002 Symposium onVLSI Technology Digest of Technical Papers, p.104, 2002 Bin Yu et al .; IEDM Tech, Dig. P.251, 2002 The channel of the Fin-FET is formed on the side surface facing the gate electrode through the gate insulating film. The channel length is determined by the width of the gate electrode (polysilicon layer). The channel width is determined by the height of the fin. Although the length of the fin is determined by the process accuracy or the like, the narrow source / drain lead portion increases the resistance of the source / drain. There is also a proposal for forming a Schottky contact by cutting the fin and embedding a metal layer without expanding the end of the fin.

特開2002−289871号公報JP 2002-298771 A

本発明の目的は、高性能の、フィン型構造を持つ電界効果トランジスタを含む半導体装置とその製造方法を提供することである。
本発明の他の目的は、ソース/ドレインのコンタクト抵抗が低い、フィン型構造を持つ電界効果トランジスタを含む半導体装置とその製造方法を提供することである。
An object of the present invention is to provide a semiconductor device including a high-performance field effect transistor having a fin-type structure and a method for manufacturing the same.
Another object of the present invention is to provide a semiconductor device including a field effect transistor having a fin-type structure with a low source / drain contact resistance and a method for manufacturing the same.

本発明の一観点によれば、
絶縁性表面を有する支持基板と、
前記支持基板上に形成され、支持基板表面に対してほぼ垂直な、第1の高さを有する一対の側面および前記両側面を接続する上面を有し、第1導電型を有するフィン型半導体領域と、
前記フィン型半導体領域の中間部を横断して形成され、ゲート絶縁膜とその上に形成された導電性のゲート電極とを含み、前記ゲート電極は、前記第1の高さより高い第2の高さの側面を有する絶縁ゲート電極構造と、
前記ゲート電極構造両側の前記フィン型半導体領域に形成された、第2導電型を有するソース/ドレイン領域と、
前記フィン型半導体領域の上面および側面上には存在せず、前記フィン型半導体領域近傍の前記ゲート電極側面上では、前記フィン型半導体領域の上面、両側面を囲むように、前記ゲート電極の側面下部上に形成されたサイドウォール絶縁膜と、
前記サイドウォール絶縁膜より外側の前記フィン型半導体領域の少なくとも両側面上に上端から下端まで形成されたシリサイド層と、
前記フィン型半導体領域の両側面上のシリサイド層にコンタクトするソース/ドレイン電極と、
を有する半導体装置
が提供される。
According to one aspect of the present invention,
A support substrate having an insulating surface;
A fin-type semiconductor region having a first conductivity type, which is formed on the support substrate, has a pair of side surfaces having a first height and is substantially perpendicular to the support substrate surface, and an upper surface connecting the both side surfaces. When,
A gate insulating film and a conductive gate electrode formed thereon are formed across the intermediate portion of the fin-type semiconductor region, and the gate electrode has a second height higher than the first height. An insulated gate electrode structure having a lateral surface;
A source / drain region having a second conductivity type formed in the fin-type semiconductor region on both sides of the gate electrode structure;
The side surface of the gate electrode does not exist on the upper surface and the side surface of the fin type semiconductor region, and surrounds the upper surface and both side surfaces of the fin type semiconductor region on the gate electrode side surface in the vicinity of the fin type semiconductor region. A sidewall insulating film formed on the lower portion;
A silicide layer formed from the upper end to the lower end on at least both side surfaces of the fin-type semiconductor region outside the sidewall insulating film;
Source / drain electrodes in contact with silicide layers on both side surfaces of the fin-type semiconductor region;
A semiconductor device is provided.

本発明の他の観点によれば、
(a)SOI基板の半導体層をパターニングし、絶縁表面を有する支持基板上に、支持基板表面に対しほぼ垂直な、第1の高さを有する一対の側面および前記両側面を接続する上面を有するフィン型半導体領域を形成する工程と、
(b)前記フィン型半導体領域の中間部を横断し、ゲート絶縁膜とその上の導電性のゲート電極とを含み、前記ゲート電極が、前記第1の高さより高い第2の高さの側面を有する絶縁ゲート電極構造を形成する工程と、
(c)前記フィン型半導体領域および前記絶縁ゲート電極構造を覆うサイドウォール用絶縁膜を形成する工程と、
(d)前記絶縁ゲート電極構造両側の前記フィン型半導体領域にソース/ドレイン領域を形成する工程と、
(e)前記サイドウォール用絶縁膜を、異方性エッチングし、前記フィン型半導体領域の上面および側面からは完全に除去し、前記ゲート電極上面および両側面上部上から除去し、前記フィン型半導体領域近傍の前記ゲート電極両側面では前記フィン型半導体領域の上面、側面を囲むように、前記ゲート電極両側面下部を残す工程と、
(f)前記フィン型半導体領域の少なくとも露出している両側面にシリサイド層を上端から下端まで形成する工程と、
(g)前記フィン型半導体領域、前記ゲート電極を覆って、層間絶縁膜を堆積する工程と、
(h)前記層間絶縁膜を貫通して、前記フィン型半導体領域両側面上のシリサイド層を露出するコンタクトホールを形成する工程と、
(i)前記コンタクトホールに導電性プラグを埋め込む工程と、
を含む半導体装置の製造方法
が提供される。
According to another aspect of the invention,
(A) The semiconductor layer of the SOI substrate is patterned, and on the support substrate having an insulating surface, a pair of side surfaces having a first height that are substantially perpendicular to the support substrate surface and upper surfaces connecting the both side surfaces are provided. Forming a fin-type semiconductor region;
(B) a side surface having a second height that crosses an intermediate portion of the fin-type semiconductor region, includes a gate insulating film and a conductive gate electrode thereon, and the gate electrode is higher than the first height. Forming an insulated gate electrode structure having:
(C) forming a sidewall insulating film covering the fin-type semiconductor region and the insulated gate electrode structure;
(D) forming source / drain regions in the fin-type semiconductor regions on both sides of the insulated gate electrode structure;
(E) The sidewall insulating film is anisotropically etched to be completely removed from the upper surface and side surfaces of the fin-type semiconductor region, and is removed from the upper surface of the gate electrode and upper portions of both side surfaces. Leaving the lower side of both sides of the gate electrode so as to surround the upper and side surfaces of the fin-type semiconductor region on both sides of the gate electrode in the vicinity of the region;
(F) forming a silicide layer from the upper end to the lower end on at least both exposed side surfaces of the fin-type semiconductor region;
(G) depositing an interlayer insulating film covering the fin-type semiconductor region and the gate electrode;
(H) forming a contact hole penetrating the interlayer insulating film and exposing a silicide layer on both side surfaces of the fin-type semiconductor region;
(I) burying a conductive plug in the contact hole;
A method for manufacturing a semiconductor device is provided.

ゲート電極の両側面上では、フィン型半導体領域を囲むように両側面下部にサイドウォール絶縁膜を形成し、フィン型半導体領域ではサイドウォール絶縁膜を完全に除去し、少なくともその両側面上にシリサイド層を形成するため、ソース/ドレインのコンタクト抵抗を低減化できる。   On both side surfaces of the gate electrode, a sidewall insulating film is formed below the both side surfaces so as to surround the fin type semiconductor region, and in the fin type semiconductor region, the sidewall insulating film is completely removed, and at least silicide is formed on both side surfaces thereof. Since the layer is formed, the source / drain contact resistance can be reduced.

以下、図面を参照して本発明の実施例を説明する。
図1A−1Tは、本発明の実施例によるフィン型構造を持つ電界効果トランジスタを含む半導体装置の製造方法を説明するための断面図、平面図、及び斜視図である。
Embodiments of the present invention will be described below with reference to the drawings.
1A to 1T are a cross-sectional view, a plan view, and a perspective view for explaining a method of manufacturing a semiconductor device including a field effect transistor having a fin-type structure according to an embodiment of the present invention.

図1Aに示すように、シリコン支持基板11の上に、埋め込み酸化シリコン層12を設け、その上に薄いシリコン層13を備えたSOI基板を準備する。半導体素子を形成するシリコン層13は、例えば厚さ100nmに調整する。このシリコン層の厚さは、後に形成するフィン型領域の高さとなり、フィン型電界効果トランジスタのチャネル幅を決定する。   As shown in FIG. 1A, an SOI substrate provided with a buried silicon oxide layer 12 on a silicon support substrate 11 and a thin silicon layer 13 thereon is prepared. The silicon layer 13 forming the semiconductor element is adjusted to a thickness of 100 nm, for example. The thickness of this silicon layer is the height of a fin type region to be formed later, and determines the channel width of the fin type field effect transistor.

図1Bに示すように、N、NO、NH、NF、NO、エレクトロンサイクロトロンレゾナンス(ECR)プラズマで活性化した窒素雰囲気等の窒化性雰囲気中でSOI基板を800℃〜1000℃に加熱し、5分〜60分のアニールを行なう。シリコン層13と埋め込み酸化膜12との界面に窒化シリコン膜14xが形成されると共に、シリコン層13の表面にも窒化シリコン層14yが形成される。窒化シリコン層は、エッチストッパとしての機能を有する。なお、窒化性雰囲気中での熱処理に関しては、特開2002−26299号公報、段落0016〜0026を参照できる。 As shown in FIG. 1B, the SOI substrate is 800 ° C. to 1000 ° C. in a nitriding atmosphere such as N 2 , NO, NH 3 , NF 3 , N 2 O, a nitrogen atmosphere activated by electron cyclotron resonance (ECR) plasma. And anneal for 5 to 60 minutes. A silicon nitride film 14 x is formed at the interface between the silicon layer 13 and the buried oxide film 12, and a silicon nitride layer 14 y is also formed on the surface of the silicon layer 13. The silicon nitride layer has a function as an etch stopper. Regarding heat treatment in a nitriding atmosphere, JP-A-2002-26299, paragraphs 0016 to 0026 can be referred to.

図1C1に示すように、表面の窒化シリコン層14yを熱燐酸等により除去する。
図1C2に示すように、シリコン層13の上に、酸化シリコン層と窒化シリコン層との積層等のキャップ層CLを形成することもできる。なお、図1Bに示す窒化シリコン層14yをそのままキャップ層として用いても良い。以下、主にキャップ層CLがない構造を例にとって説明するが、キャップ層CLを設けた場合についても適宜説明する。
As shown in FIG. 1C1, the silicon nitride layer 14y on the surface is removed with hot phosphoric acid or the like.
As shown in FIG. 1C2, a cap layer CL such as a stacked layer of a silicon oxide layer and a silicon nitride layer can be formed on the silicon layer 13. Note that the silicon nitride layer 14y shown in FIG. 1B may be used as it is as a cap layer. Hereinafter, a description will be given mainly of a structure without the cap layer CL as an example, but the case where the cap layer CL is provided will also be described as appropriate.

図1Dに示すように、シリコン層13の上に酸化シリコン層15を例えば厚さ10nm〜20nmCVDにより堆積し、ハードマスク層を形成する。酸化シリコン層15の上に、レジストマスクRM1を形成する。このレジストマスクRM1は、シリコン層13をエッチしてフィンを形成するためのマスクである。フィンの幅は、例えば約20nmである。レジストマスクRM1をエッチングマスクとし、ハードマスク層15をエッチングする。続いて、レジストマスクRM1及びハードマスク層15をマスクとしてシリコン層13をエッチングする。   As shown in FIG. 1D, a silicon oxide layer 15 is deposited on the silicon layer 13 by CVD, for example, with a thickness of 10 nm to 20 nm to form a hard mask layer. A resist mask RM1 is formed on the silicon oxide layer 15. The resist mask RM1 is a mask for etching the silicon layer 13 to form fins. The width of the fin is, for example, about 20 nm. The hard mask layer 15 is etched using the resist mask RM1 as an etching mask. Subsequently, the silicon layer 13 is etched using the resist mask RM1 and the hard mask layer 15 as a mask.

図1Eに示すように、当初のレジストマスクRM1の形状にならってシリコン層13がエッチングされる。シリコン層13下の窒化シリコン層14は、エッチストッパとして機能する。   As shown in FIG. 1E, the silicon layer 13 is etched following the initial shape of the resist mask RM1. The silicon nitride layer 14 below the silicon layer 13 functions as an etch stopper.

図1Fに示すように、酸系の溶液を用い、レジストマスクRM1及びハードマスク層15を溶液洗浄により除去する。
図1G1に示すように、800℃〜1200℃の温度で酸素を含むガス中で酸化処理を行なうことにより、シリコン層13表面に厚さ0.6nm〜2nmのゲート絶縁膜を形成する。その後、800℃〜1200℃の温度で窒素を含むガス中で窒化処理を行なうことにより、ゲート絶縁膜を酸窒化膜とする。窒素を含むガスとしては、N、NO、NH、NF、NO等の窒化性雰囲気等を用いればよい。
このようにして、シリコン層13の上面及び側面に酸窒化膜のゲート絶縁膜15が形成される。
As shown in FIG. 1F, using an acid-based solution, the resist mask RM1 and the hard mask layer 15 are removed by solution cleaning.
As shown in FIG. 1G1, by performing oxidation treatment in a gas containing oxygen at a temperature of 800 ° C. to 1200 ° C., a gate insulating film having a thickness of 0.6 nm to 2 nm is formed on the surface of the silicon layer 13. Thereafter, nitriding is performed in a gas containing nitrogen at a temperature of 800 ° C. to 1200 ° C., so that the gate insulating film becomes an oxynitride film. As the gas containing nitrogen, a nitriding atmosphere such as N 2 , NO, NH 3 , NF 3 , or N 2 O may be used.
In this manner, an oxynitride gate insulating film 15 is formed on the upper surface and side surfaces of the silicon layer 13.

図1G2に示すように、キャップ層CLがある場合は、ゲート絶縁膜15はシリコン層13の両側面にのみ形成される。
図1Hに示すように、フィン型構造を覆ってフィンの高さより高い高さを有するポリシリコン層16を、例えば厚さ約120nm〜200nm、CVDにより堆積する。フィン型半導体領域上およびその近傍では、ポリシリコン層16の高さは約220nm〜300nmとなる。
As shown in FIG. 1G2, when the cap layer CL is present, the gate insulating film 15 is formed only on both side surfaces of the silicon layer 13.
As shown in FIG. 1H, a polysilicon layer 16 covering the fin-type structure and having a height higher than the height of the fin is deposited by CVD, for example, with a thickness of about 120 nm to 200 nm. On the fin-type semiconductor region and in the vicinity thereof, the height of the polysilicon layer 16 is about 220 nm to 300 nm.

図1Iに示すように、ポリシリコン16の上に、厚さ10nm〜20nmの酸化シリコン層17をCVDにより形成し、ハードマスク層を形成する。酸化シリコン層17の上にポリシリコン層16をエッチングするためのレジストマスクRM2を形成する。レジストマスクRM2は、ゲート電極をエッチングするためのマスクであり、ゲート長を決定するその幅は、100nm以下、例えば50nmとする。レジストマスクRM2をマスクとし、ハードマスク層17をエッチングし、レジストマスクRM2とハードマスク層17をマスクとしてポリシリコン層16をエッチングする。その後、酸系の溶液洗浄によりレジストマスクRM2及びハードマスク層17を除去する。   As shown in FIG. 1I, a silicon oxide layer 17 having a thickness of 10 nm to 20 nm is formed on the polysilicon 16 by CVD to form a hard mask layer. A resist mask RM2 for etching the polysilicon layer 16 is formed on the silicon oxide layer 17. The resist mask RM2 is a mask for etching the gate electrode, and the width for determining the gate length is 100 nm or less, for example, 50 nm. The hard mask layer 17 is etched using the resist mask RM2 as a mask, and the polysilicon layer 16 is etched using the resist mask RM2 and the hard mask layer 17 as a mask. Thereafter, the resist mask RM2 and the hard mask layer 17 are removed by acid-based solution cleaning.

図1J、1Kに示すように、フィン型半導体領域13の中間部を横断するポリシリコンのゲート電極16が形成される。図1Jはゲート電極を通る断面図であり、図1Kは、ゲート電極16を形成した状態の平面図である。幅約20nmのフィン型半導体領域13の中間部を横断して、幅約50nmのポリシリコンゲート電極16が形成されている。   As shown in FIGS. 1J and 1K, a polysilicon gate electrode 16 traversing the intermediate portion of the fin-type semiconductor region 13 is formed. FIG. 1J is a cross-sectional view through the gate electrode, and FIG. 1K is a plan view of the state in which the gate electrode 16 is formed. A polysilicon gate electrode 16 having a width of about 50 nm is formed across the intermediate portion of the fin-type semiconductor region 13 having a width of about 20 nm.

以下、図1KのX−X断面、Y−Y断面を用いて説明する。図番のサフィックスXがX−X断面を示し、図番のサフィックスYがY−Y断面を示す。
図1LX,1LYは図1J,1Kの状態を改めて概略的に示す。フィン型半導体領域13の中間部を横断して、フィンより高いゲート電極16が形成されている。
Hereinafter, description will be made using the XX cross section and the YY cross section of FIG. 1K. The suffix X in the figure number indicates the XX section, and the suffix Y in the figure number indicates the YY section.
1LX and 1LY schematically show the states of FIGS. 1J and 1K again. A gate electrode 16 higher than the fin is formed across the intermediate portion of the fin-type semiconductor region 13.

図1MX,1MYに示すように、基板全面上に厚さ10nm〜20nmの酸化シリコン層21pをCVDにより堆積する。
図1NX,1NYに示すように、リアクティブイオンエッチング(RIE)を行ない、酸化シリコン層21pを異方的にエッチングする。エッチング条件は、例えばフッ素を含む反応性ガス(CF,CHF,C,C等)を用い、圧力1Pa〜100Pa、印加高周波13.56MHzを用いる。図には、平坦部上の酸化シリコン層がエッチングされて基板表面に対してほぼ垂直な側面上にのみ酸化シリコン層21が残った状態を示す。通常のサイドウォ−ルスペーサはこの状態である。
図1OX,1OYに示すように、さらに異方性エッチングRIEを続ける。ゲート電極16、フィン型半導体領域13の上部が露出する。フィン型半導体領域13の側面が完全に露出するまで異方性エッチングRIEを続ける。
As shown in FIGS. 1MX and 1MY, a silicon oxide layer 21p having a thickness of 10 nm to 20 nm is deposited on the entire surface of the substrate by CVD.
As shown in FIGS. 1NX and 1NY, reactive ion etching (RIE) is performed to anisotropically etch the silicon oxide layer 21p. As the etching conditions, for example, a reactive gas containing fluorine (CF 4 , CHF 3 , C 2 F 6 , C 4 F 8, etc.) is used, and a pressure of 1 Pa to 100 Pa and an applied high frequency of 13.56 MHz are used. The figure shows a state in which the silicon oxide layer 21 on the flat portion is etched and the silicon oxide layer 21 remains only on the side surface substantially perpendicular to the substrate surface. A normal side wall spacer is in this state.
As shown in FIGS. 1OX and 1OY, anisotropic etching RIE is further continued. The upper portions of the gate electrode 16 and the fin-type semiconductor region 13 are exposed. The anisotropic etching RIE is continued until the side surface of the fin-type semiconductor region 13 is completely exposed.

図1PX,1PYは、フィン型半導体領域13の側面で酸化シリコン層21が完全にエッチング除去された状態を示す。この状態をフィン型半導体領域に対して100%以上のオーバーエッチングと呼ぶ。但し、ゲート電極16側面では酸化シリコン膜21が残っており、フィン型半導体領域13の周囲ではゲート電極16の側面がフィン型半導体領域13より高い位置まで覆われている。即ち、露出したフィン型半導体領域13と露出したゲート電極16の上部側面の間には一定幅の酸化シリコン層21が残り、両者を電気的に分離している。   1PX and 1PY show a state in which the silicon oxide layer 21 is completely etched away on the side surface of the fin-type semiconductor region 13. This state is called overetching of 100% or more with respect to the fin-type semiconductor region. However, the silicon oxide film 21 remains on the side surface of the gate electrode 16, and the side surface of the gate electrode 16 is covered to a position higher than the fin type semiconductor region 13 around the fin type semiconductor region 13. In other words, a silicon oxide layer 21 having a constant width remains between the exposed fin-type semiconductor region 13 and the exposed upper side surface of the gate electrode 16 to electrically isolate the two.

図1QX,1QYに示すように、フィン型シリコン領域13に対して斜め方向からイオン注入を行ない、ソース/ドレイン領域のエクステンション、高濃度領域18を形成する。必要に応じて逆導電型のポケット領域をイオン注入してもよい。なお、これらのイオン注入は、公知の方法で行なえばよい。例えば、サイドウォール絶縁膜21を作る前にエクステンションとポケットのイオン注入を行い、サイドウォール21を作成した段階、またはRIEを完了した段階で高濃度領域のイオン注入を行なっても良い。   As shown in FIGS. 1QX and 1QY, ions are implanted into the fin-type silicon region 13 from an oblique direction to form source / drain region extensions and high-concentration regions 18. If necessary, reverse conductivity type pocket regions may be ion-implanted. In addition, what is necessary is just to perform these ion implantation by a well-known method. For example, extension and pocket ion implantation may be performed before the sidewall insulating film 21 is formed, and ion implantation in the high concentration region may be performed at the stage where the sidewall 21 is formed or the RIE is completed.

nチャネルMOSトランジスタの場合、p型のフィン型シリコン領域13の上面及び両側面にn型のソース/ドレイン領域18が形成される。イオン注入後、800℃〜1200℃の温度でアニールし、イオン注入した不純物を活性化する。   In the case of an n-channel MOS transistor, n-type source / drain regions 18 are formed on the upper surface and both side surfaces of the p-type fin-type silicon region 13. After ion implantation, annealing is performed at a temperature of 800 ° C. to 1200 ° C. to activate the implanted impurities.

以上の工程により、フィン型シリコン領域13を横切ってポリシリコンのゲート電極16が形成され、ポリシリコンゲート電極16の両側にソース/ドレイン領域が形成されて基本的なFET構造が作成される。   Through the above steps, a polysilicon gate electrode 16 is formed across the fin-type silicon region 13, and source / drain regions are formed on both sides of the polysilicon gate electrode 16 to form a basic FET structure.

図1RX,1RYに示すように、基板全面にCo,Ni等のシリサイド反応可能な金属層を、例えば厚さ2nm〜30nmスパッタリングにより堆積し、200℃〜600℃のアニールで一次シリサイド反応を生じさせる。シリコン層上に堆積した金属層は、一次シリサイド反応を行なってシリサイド層24が形成される。未反応の金属層を酸溶液処理等により除去し、再度300℃〜900℃のアニールで2次シリサイド反応を行ない、低抵抗のシリサイド層24とする。   As shown in FIGS. 1RX and 1RY, a metal layer capable of silicide reaction such as Co and Ni is deposited on the entire surface of the substrate by sputtering, for example, with a thickness of 2 nm to 30 nm, and a primary silicide reaction is caused by annealing at 200 ° C. to 600 ° C. . The metal layer deposited on the silicon layer undergoes a primary silicide reaction to form a silicide layer 24. The unreacted metal layer is removed by an acid solution treatment or the like, and a secondary silicide reaction is performed again by annealing at 300 ° C. to 900 ° C. to form a low resistance silicide layer 24.

フィン型半導体領域13の、ゲート電極16から突出している部分では、全側面および上面にシリサイド層24が形成される。フィン型半導体領域の幅は狭いが、高さは高く、上面と較べて格段に広い側面上に、さらに両側面上に上端から下端までシリサイド層が形成される。ゲート電極16はその上面および上部側面にシリサイド層24が形成される。ソース/ドレイン領域の実効抵抗が低減化すると共に、ソース/ドレイン引き出し電極のソース/ドレイン領域に対する低抵抗コンタクトが可能になる。ゲート電極の抵抗、および引き出し電極のコンタクト抵抗も低減する。ゲート電極上のシリサイド層は、フィン型半導体領域上のシリサイド層と残されたサイドウォールスペーサである酸化シリコン層21によって電気的に分離される。   In the portion of the fin-type semiconductor region 13 that protrudes from the gate electrode 16, a silicide layer 24 is formed on all side surfaces and the upper surface. Although the fin-type semiconductor region has a small width but a high height, a silicide layer is formed from the upper end to the lower end on side surfaces that are much wider than the upper surface and on both side surfaces. A silicide layer 24 is formed on the upper surface and upper side surface of the gate electrode 16. The effective resistance of the source / drain region is reduced, and a low resistance contact with the source / drain region of the source / drain extraction electrode is possible. The resistance of the gate electrode and the contact resistance of the lead electrode are also reduced. The silicide layer on the gate electrode is electrically separated from the silicide layer on the fin-type semiconductor region by the remaining silicon oxide layer 21 which is a sidewall spacer.

図1SX、1SYに示すように、フィン型FET構造を覆って酸化シリコン膜、PSG膜、BPSG膜等により層間絶縁膜22を堆積する。層間絶縁膜22は、例えば厚さ400nm〜1000nmである。CVD又はスパッタリングにより堆積した後、化学機械研磨(CMP)等により表面を平坦化する。ソース/ドレイン、ゲートに対するコンタクトホールをRIEにより層間絶縁膜22に形成する。なお、コンタクトホールCHのエッチングは、反応性ガスとしてはフッ素を含むガス、例えばCF4、CHF、C、C等を用い、圧力を1Pa〜100Paとし、13.56MHzの高周波電力を印加したRIEにより行なうことができる。酸化シリコンのエッチングは、窒化シリコン層14でストップされる。 As shown in FIGS. 1SX and 1SY, an interlayer insulating film 22 is deposited by a silicon oxide film, a PSG film, a BPSG film or the like so as to cover the fin-type FET structure. The interlayer insulating film 22 has a thickness of 400 nm to 1000 nm, for example. After deposition by CVD or sputtering, the surface is planarized by chemical mechanical polishing (CMP) or the like. Contact holes for the source / drain and gate are formed in the interlayer insulating film 22 by RIE. In the etching of the contact hole CH, a gas containing fluorine as a reactive gas, for example, CF 4 , CHF 3 , C 2 F 6 , C 4 F 8, etc. is used, the pressure is set to 1 Pa to 100 Pa, and 13.56 MHz. It can be performed by RIE to which high frequency power is applied. Etching of silicon oxide is stopped at the silicon nitride layer 14.

Ti,TiN等のバリア層をスパッタリングまたはCVDにより形成した後、W層をCVDで堆積し、層間絶縁膜上の不要部をCMPで除去する。このようにしてWの導電性プラグ26を層間絶縁膜22に埋め込む。導電性プラグは、ソース/ドレインおよびゲートの引き出し電極を構成する。ソース/ドレイン領域は、その上に、チャネル近傍まで、特に広い側面上の高さ一杯にシリサイド層が形成されており、引き出し電極のチャネル領域に対するコンタクト抵抗は著しく低減化できる。その後、米国特許第6,707,156号、第6,693,046号等に開示されている公知の方法で多層配線を形成する。   After a barrier layer such as Ti or TiN is formed by sputtering or CVD, a W layer is deposited by CVD, and unnecessary portions on the interlayer insulating film are removed by CMP. In this way, the W conductive plug 26 is embedded in the interlayer insulating film 22. The conductive plugs constitute source / drain and gate lead electrodes. On the source / drain region, a silicide layer is formed to the height close to the channel, particularly on a wide side, and the contact resistance of the extraction electrode to the channel region can be significantly reduced. Thereafter, a multilayer wiring is formed by a known method disclosed in US Pat. Nos. 6,707,156 and 6,693,046.

図1Tは、フィン型FET構造を示す斜視図である。ゲート電極は端部において幅が広げられ、その上にコンタクトホールが形成されてWプラグ26が埋め込まれる。フィン型シリコン領域Finは、図中水平方向に延在し、ゲート電極Gから突出する部分は、両側面の上端から下端まで、および両側面を接続する上面がシリサイド化されており、Wプラグ26が両側面、上面にコンタクトする。 フィン型領域の幅が高さよりも狭い場合、上面のみでコンタクトを取ると、その抵抗を十分低くすることは難しい。本実施例に従えば、シリサイド層がフィン型シリコン領域の両側面、及びキャップ層がない場合には上面にも、形成される。広い両側面上のシリサイド層にソース/ドレイン電極が接触するために、ソース/ドレインのコンタクト抵抗を低減し、ソース/ドレイン間のシリーズ抵抗を低減することができる。   FIG. 1T is a perspective view showing a fin-type FET structure. The width of the gate electrode is widened at the end, a contact hole is formed thereon, and the W plug 26 is embedded. The fin-type silicon region Fin extends in the horizontal direction in the figure, and the portion protruding from the gate electrode G is silicided from the upper end to the lower end of both side surfaces and the upper surface connecting both side surfaces. Contacts both sides and top. When the width of the fin-type region is narrower than the height, it is difficult to sufficiently reduce the resistance if contact is made only on the upper surface. According to this embodiment, the silicide layer is also formed on both side surfaces of the fin-type silicon region and on the upper surface when there is no cap layer. Since the source / drain electrodes are in contact with the silicide layers on the wide side surfaces, the source / drain contact resistance can be reduced, and the series resistance between the source / drain can be reduced.

図2Aは、窒化シリコンまたは酸化窒化シリコンのキャップ層CLをフィン型半導体領域Finとゲート電極Gの上に設けた形態を示す。フィン型半導体領域Finおよびゲート電極Gのエッチングに於いては、キャップ層をハードマスク層として用いてもよい。図1RX,1RYに示したシリサイド工程は、フィン型半導体領域Fin,ゲート電極Gの側面で行なわれ、キャップ層で覆った上面はシリサイド化されない。コンタクトホールのエッチングに於いて、キャップ層CLのエッチングも行なう。キャップ層除去後、さらにシリサイド工程を行ってもよい。   2A shows a form in which a cap layer CL of silicon nitride or silicon oxynitride is provided on the fin-type semiconductor region Fin and the gate electrode G. FIG. In the etching of the fin-type semiconductor region Fin and the gate electrode G, a cap layer may be used as a hard mask layer. The silicide process shown in FIGS. 1RX and 1RY is performed on the side surfaces of the fin-type semiconductor region Fin and the gate electrode G, and the upper surface covered with the cap layer is not silicided. In the contact hole etching, the cap layer CL is also etched. After removing the cap layer, a silicide process may be further performed.

上述の実施例においては、シリコン層13を介して窒化処理を行なうことにより、シリコン層と埋め込み酸化膜との界面に窒化シリコン層を形成した。窒化シリコンまたは酸化窒化シリコンを埋め込み絶縁層としたSOI基板を用いれば、窒化工程を省略できる。   In the above embodiment, a nitriding process is performed through the silicon layer 13 to form a silicon nitride layer at the interface between the silicon layer and the buried oxide film. If an SOI substrate having a buried insulating layer of silicon nitride or silicon oxynitride is used, the nitriding step can be omitted.

図2Bに示すように、埋め込み絶縁層を酸化シリコン層ではなく、窒化シリコン層又は酸窒化シリコン層12xで形成したSOI基板を用いる。この場合、窒化シリコン層を形成しなくても埋め込み絶縁層12x自身がエッチストッパとして機能する。   As shown in FIG. 2B, an SOI substrate in which the buried insulating layer is formed of a silicon nitride layer or a silicon oxynitride layer 12x instead of a silicon oxide layer is used. In this case, the buried insulating layer 12x itself functions as an etch stopper without forming a silicon nitride layer.

以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば種々の変更、改良、組み合わせが可能なことは当業者に自明であろう。     Although the present invention has been described with reference to the embodiments, the present invention is not limited thereto. It will be apparent to those skilled in the art that various modifications, improvements, combinations, and the like can be made.

本発明の実施例による半導体装置の製造工程を説明するための断面図である。It is sectional drawing for demonstrating the manufacturing process of the semiconductor device by the Example of this invention. 本発明の実施例による半導体装置の製造工程を説明するための断面図である。It is sectional drawing for demonstrating the manufacturing process of the semiconductor device by the Example of this invention. 本発明の実施例による半導体装置の製造工程を説明するための断面図及び平面図である。It is sectional drawing and a top view for demonstrating the manufacturing process of the semiconductor device by the Example of this invention. 本発明の実施例による半導体装置の製造工程を説明するための断面図である。It is sectional drawing for demonstrating the manufacturing process of the semiconductor device by the Example of this invention. 本発明の実施例による半導体装置の製造工程を説明するための断面図及び斜視図である。It is sectional drawing and a perspective view for demonstrating the manufacturing process of the semiconductor device by the Example of this invention. 実施例の変形例を示す斜視図である。It is a perspective view which shows the modification of an Example. 従来技術の例を示す斜視図である。It is a perspective view which shows the example of a prior art.

符号の説明Explanation of symbols

11 (Si)支持基板
12 埋め込み酸化シリコン層(BOX層)
12x 窒化シリコン(酸化窒化シリコン)層
13 シリコン層(フィン型半導体領域)
14 窒化シリコン層
15、17 CVD酸化シリコン層
16 ポリシリコン層
18 ソース/ドレイン領域
RM レジストマスク
CL キャップ層
21 サイドウォール酸化膜(絶縁層)
22 層間絶縁層
24 シリサイド層
11 (Si) support substrate 12 buried silicon oxide layer (BOX layer)
12x silicon nitride (silicon oxynitride) layer 13 silicon layer (fin type semiconductor region)
14 Silicon nitride layer 15, 17 CVD silicon oxide layer 16 Polysilicon layer 18 Source / drain region RM Resist mask CL Cap layer 21 Side wall oxide film (insulating layer)
22 Interlayer insulating layer 24 Silicide layer

Claims (10)

絶縁性表面を有する支持基板と、
前記支持基板上に形成され、支持基板表面に対してほぼ垂直な、第1の高さを有する一対の側面および前記両側面を接続する上面を有し、第1導電型を有するフィン型半導体領域と、
前記フィン型半導体領域の中間部を横断して形成され、ゲート絶縁膜とその上に形成された導電性のゲート電極とを含み、前記ゲート電極は、前記第1の高さより高い第2の高さの側面を有する絶縁ゲート電極構造と、
前記ゲート電極構造両側の前記フィン型半導体領域に形成された、第2導電型を有するソース/ドレイン領域と、
前記フィン型半導体領域の上面および側面上には存在せず、前記フィン型半導体領域近傍の前記ゲート電極側面上では、前記フィン型半導体領域の上面、両側面を囲むように、前記ゲート電極の側面下部上に形成されたサイドウォール絶縁膜と、
前記サイドウォール絶縁膜より外側の前記フィン型半導体領域の少なくとも両側面上に上端から下端まで形成されたシリサイド層と、
前記フィン型半導体領域の両側面上のシリサイド層にコンタクトするソース/ドレイン電極と、
を有する半導体装置。
A support substrate having an insulating surface;
A fin-type semiconductor region having a first conductivity type, which is formed on the support substrate, has a pair of side surfaces having a first height and is substantially perpendicular to the support substrate surface, and an upper surface connecting the both side surfaces. When,
A gate insulating film and a conductive gate electrode formed thereon are formed across the intermediate portion of the fin-type semiconductor region, and the gate electrode has a second height higher than the first height. An insulated gate electrode structure having a lateral surface;
A source / drain region having a second conductivity type formed in the fin-type semiconductor region on both sides of the gate electrode structure;
The side surface of the gate electrode does not exist on the upper surface and the side surface of the fin type semiconductor region, and surrounds the upper surface and both side surfaces of the fin type semiconductor region on the gate electrode side surface in the vicinity of the fin type semiconductor region. A sidewall insulating film formed on the lower portion;
A silicide layer formed from the upper end to the lower end on at least both side surfaces of the fin-type semiconductor region outside the sidewall insulating film;
Source / drain electrodes in contact with silicide layers on both side surfaces of the fin-type semiconductor region;
A semiconductor device.
前記ソース/ドレイン領域は、前記フィン型半導体領域の側面および上面に形成され、前記シリサイド層は前記フィン型半導体領域の側面および上面上に形成されている請求項1記載の半導体装置。   2. The semiconductor device according to claim 1, wherein the source / drain regions are formed on a side surface and an upper surface of the fin type semiconductor region, and the silicide layer is formed on a side surface and an upper surface of the fin type semiconductor region. さらに、前記フィン型半導体領域の上面上に形成されている絶縁性保護膜を有し、
前記ゲート絶縁膜は前記フィン型半導体領域の両側面上に形成され、前記シリサイド層は前記フィン型半導体領域の両側面上に形成されている請求項1記載の半導体装置。
And an insulating protective film formed on the upper surface of the fin-type semiconductor region,
2. The semiconductor device according to claim 1, wherein the gate insulating film is formed on both side surfaces of the fin type semiconductor region, and the silicide layer is formed on both side surfaces of the fin type semiconductor region.
さらに、前記フィン型半導体領域、前記絶縁ゲート電極構造を埋め込み、前記フィン型半導体領域の両側面上のシリサイド層を露出するコンタクトホールを形成した層間絶縁膜を有し、
前記ソース/ドレイン電極は前記コンタクトホール内で前記フィン型半導体領域両側面のシリサイド層にコンタクトする請求項1〜3のいずれか1項記載の半導体装置。
And further comprising an interlayer insulating film in which the fin type semiconductor region and the insulated gate electrode structure are embedded and contact holes are formed to expose silicide layers on both side surfaces of the fin type semiconductor region,
The semiconductor device according to claim 1, wherein the source / drain electrodes are in contact with silicide layers on both side surfaces of the fin-type semiconductor region in the contact hole.
前記SOI基板の埋め込み絶縁膜は、窒化シリコンまたは酸化窒化シリコンの表面を有する請求項1〜4のいずれか1項記載の半導体装置。   The semiconductor device according to claim 1, wherein the buried insulating film of the SOI substrate has a surface of silicon nitride or silicon oxynitride. (a)SOI基板の半導体層をパターニングし、絶縁表面を有する支持基板上に、支持基板表面に対しほぼ垂直な、第1の高さを有する一対の側面および前記両側面を接続する上面を有するフィン型半導体領域を形成する工程と、
(b)前記フィン型半導体領域の中間部を横断し、ゲート絶縁膜とその上の導電性のゲート電極とを含み、前記ゲート電極が、前記第1の高さより高い第2の高さの側面を有する絶縁ゲート電極構造を形成する工程と、
(c)前記フィン型半導体領域および前記絶縁ゲート電極構造を覆うサイドウォール用絶縁膜を形成する工程と、
(d)前記絶縁ゲート電極構造両側の前記フィン型半導体領域にソース/ドレイン領域を形成する工程と、
(e)前記サイドウォール用絶縁膜を、異方性エッチングし、前記フィン型半導体領域の上面および側面からは完全に除去し、前記ゲート電極上面および両側面上部上から除去し、前記フィン型半導体領域近傍の前記ゲート電極両側面では前記フィン型半導体領域の上面、側面を囲むように、前記ゲート電極両側面下部を残す工程と、
(f)前記フィン型半導体領域の少なくとも露出している両側面にシリサイド層を上端から下端まで形成する工程と、
(g)前記フィン型半導体領域、前記ゲート電極を覆って、層間絶縁膜を堆積する工程と、
(h)前記層間絶縁膜を貫通して、前記フィン型半導体領域両側面上のシリサイド層を露出するコンタクトホールを形成する工程と、
(i)前記コンタクトホールに導電性プラグを埋め込む工程と、
を含む半導体装置の製造方法。
(A) The semiconductor layer of the SOI substrate is patterned, and on the support substrate having an insulating surface, a pair of side surfaces having a first height that are substantially perpendicular to the support substrate surface and upper surfaces connecting the both side surfaces are provided. Forming a fin-type semiconductor region;
(B) a side surface having a second height that crosses an intermediate portion of the fin-type semiconductor region, includes a gate insulating film and a conductive gate electrode thereon, and the gate electrode is higher than the first height. Forming an insulated gate electrode structure having:
(C) forming a sidewall insulating film covering the fin-type semiconductor region and the insulated gate electrode structure;
(D) forming source / drain regions in the fin-type semiconductor regions on both sides of the insulated gate electrode structure;
(E) The sidewall insulating film is anisotropically etched to be completely removed from the upper surface and side surfaces of the fin-type semiconductor region, and is removed from the upper surface of the gate electrode and upper portions of both side surfaces. Leaving the lower side of both sides of the gate electrode so as to surround the upper and side surfaces of the fin-type semiconductor region on both sides of the gate electrode in the vicinity of the region;
(F) forming a silicide layer from the upper end to the lower end on at least both exposed side surfaces of the fin-type semiconductor region;
(G) depositing an interlayer insulating film covering the fin-type semiconductor region and the gate electrode;
(H) forming a contact hole penetrating the interlayer insulating film and exposing a silicide layer on both side surfaces of the fin-type semiconductor region;
(I) burying a conductive plug in the contact hole;
A method of manufacturing a semiconductor device including:
前記工程(a)が、上面および側面を露出した構造のフィン型半導体領域を形成し、前記工程(f)が、前記フィン型半導体領域の上面および両側面上に前記シリサイド層を形成する請求項6記載の半導体装置の製造方法。   The step (a) forms a fin-type semiconductor region having an exposed upper surface and side surfaces, and the step (f) forms the silicide layer on the upper surface and both side surfaces of the fin-type semiconductor region. 6. A method for manufacturing a semiconductor device according to 6. 前記工程(a)が、フィン型半導体領域上に絶縁保護膜を備えたフィン型構造を形成し、前記工程(f)が、前記フィン型半導体領域の両側面上に前記シリサイド層を形成する請求項6記載の半導体装置の製造方法。   The step (a) forms a fin-type structure having an insulating protective film on the fin-type semiconductor region, and the step (f) forms the silicide layer on both side surfaces of the fin-type semiconductor region. Item 7. A method for manufacturing a semiconductor device according to Item 6. さらに、(j)前記コンタクトホール内に露出した前記絶縁保護膜を除去する工程を含む請求項6〜8のいずれか1項記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 6, further comprising: (j) removing the insulating protective film exposed in the contact hole. さらに、(k)前記SOI基板に窒化処理を行い、半導体層と埋め込み絶縁膜との界面に窒化膜を形成する工程を含む請求項6〜9のいずれか1項記載の半導体装置の製造方法。
10. The method of manufacturing a semiconductor device according to claim 6, further comprising: (k) performing a nitriding process on the SOI substrate to form a nitride film at an interface between the semiconductor layer and the buried insulating film.
JP2005008854A 2005-01-17 2005-01-17 Semiconductor device and manufacturing method thereof Pending JP2006196821A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2005008854A JP2006196821A (en) 2005-01-17 2005-01-17 Semiconductor device and manufacturing method thereof
US11/123,145 US7282766B2 (en) 2005-01-17 2005-05-06 Fin-type semiconductor device with low contact resistance
US11/896,826 US7396710B2 (en) 2005-01-17 2007-09-06 Fin-type semiconductor device with low contact resistance and its manufacture method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005008854A JP2006196821A (en) 2005-01-17 2005-01-17 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
JP2006196821A true JP2006196821A (en) 2006-07-27

Family

ID=36802630

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005008854A Pending JP2006196821A (en) 2005-01-17 2005-01-17 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP2006196821A (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100846514B1 (en) 2007-02-05 2008-07-17 삼성전자주식회사 Method of selective-etching thin film formed on vertical structure and method of manufacturing memory device using the same method
WO2009016778A1 (en) 2007-07-27 2009-02-05 Panasonic Corporation Semiconductor device and method for manufacturing the same
JP2009071294A (en) * 2007-09-11 2009-04-02 Soi Tec Silicon On Insulator Technologies Multiple gate field effect transistor structure and method for fabricating same
WO2010092653A1 (en) 2009-02-12 2010-08-19 Panasonic Corporation Semiconductor device and method for fabricating the same
WO2010103714A1 (en) * 2009-03-10 2010-09-16 パナソニック株式会社 Semiconductor device and method for producing the same
US7800165B2 (en) 2007-01-22 2010-09-21 Panasonic Corporation Semiconductor device and method for producing the same
US8004045B2 (en) 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US8258585B2 (en) 2008-05-29 2012-09-04 Panasonic Corporation Semiconductor device
US8324685B2 (en) 2009-02-12 2012-12-04 Panasonic Corporation Semiconductor device having a fin-type semiconductor region
US8409939B2 (en) 2009-12-17 2013-04-02 Panasonic Corporation Semiconductor device and method for fabricating the same
US8574972B2 (en) 2009-12-28 2013-11-05 Panasonic Corporation Method for fabricating semiconductor device and plasma doping apparatus
KR101786213B1 (en) * 2015-05-22 2017-10-17 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and manufacturing method thereof
US10580771B2 (en) 2012-08-21 2020-03-03 Stmicroelectronics, Inc. Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods
JP2021193757A (en) * 2011-03-25 2021-12-23 株式会社半導体エネルギー研究所 Field-effect transistor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002026299A (en) * 2000-07-04 2002-01-25 Fujitsu Ltd Semiconductor substrate and its manufacturing method and semiconductor device and its manufacturing method
JP2002118255A (en) * 2000-07-31 2002-04-19 Toshiba Corp Semiconductor device and manufacturing method thereof
WO2004019414A1 (en) * 2002-08-23 2004-03-04 Intel Corporation Tri-gate devices and methods of fabrication
JP2005005590A (en) * 2003-06-13 2005-01-06 Toshiba Corp Field-effect transistor and method for manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002026299A (en) * 2000-07-04 2002-01-25 Fujitsu Ltd Semiconductor substrate and its manufacturing method and semiconductor device and its manufacturing method
JP2002118255A (en) * 2000-07-31 2002-04-19 Toshiba Corp Semiconductor device and manufacturing method thereof
WO2004019414A1 (en) * 2002-08-23 2004-03-04 Intel Corporation Tri-gate devices and methods of fabrication
JP2005005590A (en) * 2003-06-13 2005-01-06 Toshiba Corp Field-effect transistor and method for manufacturing the same

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7800165B2 (en) 2007-01-22 2010-09-21 Panasonic Corporation Semiconductor device and method for producing the same
US8105926B2 (en) 2007-01-22 2012-01-31 Panasonic Corporation Method for producing a semiconductor device by plasma doping a semiconductor region to form an impurity region
KR100846514B1 (en) 2007-02-05 2008-07-17 삼성전자주식회사 Method of selective-etching thin film formed on vertical structure and method of manufacturing memory device using the same method
WO2009016778A1 (en) 2007-07-27 2009-02-05 Panasonic Corporation Semiconductor device and method for manufacturing the same
US8536000B2 (en) 2007-07-27 2013-09-17 Panasonic Corporation Method for producing a semiconductor device have fin-shaped semiconductor regions
CN101542743B (en) * 2007-07-27 2012-09-05 松下电器产业株式会社 Method for manufacturing semiconductor device
US8004045B2 (en) 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
JP4814960B2 (en) * 2007-07-27 2011-11-16 パナソニック株式会社 Manufacturing method of semiconductor device
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
JP2009071294A (en) * 2007-09-11 2009-04-02 Soi Tec Silicon On Insulator Technologies Multiple gate field effect transistor structure and method for fabricating same
US8258585B2 (en) 2008-05-29 2012-09-04 Panasonic Corporation Semiconductor device
US8324685B2 (en) 2009-02-12 2012-12-04 Panasonic Corporation Semiconductor device having a fin-type semiconductor region
WO2010092653A1 (en) 2009-02-12 2010-08-19 Panasonic Corporation Semiconductor device and method for fabricating the same
WO2010103714A1 (en) * 2009-03-10 2010-09-16 パナソニック株式会社 Semiconductor device and method for producing the same
US8409939B2 (en) 2009-12-17 2013-04-02 Panasonic Corporation Semiconductor device and method for fabricating the same
US8574972B2 (en) 2009-12-28 2013-11-05 Panasonic Corporation Method for fabricating semiconductor device and plasma doping apparatus
JP2021193757A (en) * 2011-03-25 2021-12-23 株式会社半導体エネルギー研究所 Field-effect transistor
US10580771B2 (en) 2012-08-21 2020-03-03 Stmicroelectronics, Inc. Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods
US11069682B2 (en) 2012-08-21 2021-07-20 Stmicroelectronics, Inc. Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods
US11610886B2 (en) 2012-08-21 2023-03-21 Bell Semiconductor, Llc Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods
KR101786213B1 (en) * 2015-05-22 2017-10-17 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and manufacturing method thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Similar Documents

Publication Publication Date Title
US7282766B2 (en) Fin-type semiconductor device with low contact resistance
JP2006196821A (en) Semiconductor device and manufacturing method thereof
KR101637679B1 (en) Mechanisms for forming finfet device
JP5017795B2 (en) Method for manufacturing field effect transistor
US7820551B2 (en) Semiconductor device having fins FET and manufacturing method thereof
JP2001284466A (en) Semiconductor device and method of manufacturing it
US9870951B2 (en) Method of fabricating semiconductor structure with self-aligned spacers
JP2006054423A (en) Semiconductor device and its manufacturing method
JP5224769B2 (en) CMOS structure including a three-dimensional active region
US8378395B2 (en) Methods of fabricating field effect transistors having protruded active regions
US20120068270A1 (en) Semiconductor device and manufacturing method of the device
JP2009033173A (en) Semiconductor device and method of manufacturing the same
JP2008034413A (en) Semiconductor device and manufacturing method therefor
JP2007317796A (en) Semiconductor device and method of manufacturing the same
US20130292779A1 (en) Semiconductor device and semiconductor device production process
JP4527552B2 (en) Semiconductor device and manufacturing method thereof
JP2010129978A (en) Method of manufacturing semiconductor device
US7989300B2 (en) Method of manufacturing semiconductor device
JP2006339597A (en) Semiconductor device and manufacturing method thereof
CN114068396B (en) Semiconductor structure and forming method thereof
TWI768635B (en) Method for manufacturing metal oxide semiconductor transistor
JP4241288B2 (en) Semiconductor device and manufacturing method thereof
TW202410163A (en) Nanostructure field-effect transistor and manufacturing method thereof
JP3966102B2 (en) Manufacturing method of semiconductor device
CN113823691A (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A977 Report on retrieval

Effective date: 20090126

Free format text: JAPANESE INTERMEDIATE CODE: A971007

A131 Notification of reasons for refusal

Effective date: 20091020

Free format text: JAPANESE INTERMEDIATE CODE: A131

A02 Decision of refusal

Effective date: 20100420

Free format text: JAPANESE INTERMEDIATE CODE: A02