JP3966102B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP3966102B2
JP3966102B2 JP2002197051A JP2002197051A JP3966102B2 JP 3966102 B2 JP3966102 B2 JP 3966102B2 JP 2002197051 A JP2002197051 A JP 2002197051A JP 2002197051 A JP2002197051 A JP 2002197051A JP 3966102 B2 JP3966102 B2 JP 3966102B2
Authority
JP
Japan
Prior art keywords
film
dummy gate
gate pattern
oxide film
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002197051A
Other languages
Japanese (ja)
Other versions
JP2004039963A (en
Inventor
理一郎 三橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2002197051A priority Critical patent/JP3966102B2/en
Publication of JP2004039963A publication Critical patent/JP2004039963A/en
Application granted granted Critical
Publication of JP3966102B2 publication Critical patent/JP3966102B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造方法に関し、特に高誘電体からなるゲート絶縁膜を有する半導体装置の製造方法に関する。
【0002】
【従来の技術】
近年、半導体装置に関して、高速動作と低消費電力化が要求されている。ここで、高速化を実現するためには、例えば、MOSFETのゲート容量を増やして駆動電流を増加させる必要がある。そこで、MOSFETのゲート酸化膜としてシリコン酸化膜やシリコン酸窒化膜を材料とする構造では、ゲート容量を増加させるためにゲート酸化膜の絶縁膜膜厚を薄くする構造としていた。しかし膜厚を1.5nm以下に薄膜化するとキャパシタに流れるリーク電流が増加してしまうので、高速動作は実現できても低消費電力化は難しく、さらには電荷を蓄積するというキャパシタ本来の動作も困難という課題があった。
【0003】
そこで、MOSFETのゲート絶縁膜材料として、シリコン酸化膜(比誘電率:K=3.9)よりも比誘電率の高い金属酸化膜、例えば、アルミニウム酸化膜(K=9)、ジルコニウム酸化膜(K=20)、ハフニウム酸化膜(K=20)、タンタル酸化膜(K=25)、チタン酸化膜(K=40)などを適用する試みがなされている。これら金属酸化膜の比誘電率はシリコン酸化膜に比べて大きいために電荷蓄積量が多くなり、同じ容量値であっても実際の物理的な膜厚を厚く設定できるので、キャパシタのリーク電流の増加を抑制することができる(例えば、Journal of Applied Physics vol. 89 5243(2001))。
【0004】
このような、高誘電体膜を利用した半導体装置の製造方法の一つに特開平10−189966号公報に示すものが提案されている。この製造方法では、シリコン基板上にダミーゲートパターンを形成した後、シリコン基板に不純物拡散層を形成する。
【0005】
次に、シリコン基板上に層間絶縁膜を形成した後、ダミーゲートパターンの表面を露出するためにCMP(Chemical Mechanical Polishing)などの方法によってシリコン基板上に形成された層間絶縁膜の平坦化を行う。その後、ダミーゲートパターンを選択的に除去した後、ゲート絶縁膜及びゲート電極を形成する。
【0006】
【発明が解決しようとする課題】
しかしながら、上記の従来例で層間絶縁膜を形成する場合、ダミーゲートパターン近傍の埋め込み特性を向上させるために、BPSG(Born Phosphorous doped Silicate Glass)膜のような加工処理により平坦化が容易な高濃度に不純物が添加されたシリコン酸化膜(以下、ドープド酸化膜と言う)が層間絶縁膜に用いられている。一般に、ドープド酸化膜はエッチング時に実質的に不純物が添加されていないシリコン酸化膜(以下、ノンドープド酸化膜と言う)と比較してエッチングレートが高くなる。このため、ダミーゲートパターンを除去する時に層間絶縁膜もエッチングされて膜減りするという問題があった。
【0007】
さらに、レジスト膜によりダミーゲートパターン以外の領域を保護してダミーゲートパターンを除去することも考えられるが、ゲートパターンが微細化された状態ではマスク合わせの工程が非常に困難になっている。したがって、ダミーゲートパターンを除去する時は自己整合的にダミーゲートパターン以外の領域が保護されることが望ましい。
【0008】
本発明はかかる課題を解決するものであり、層間絶縁膜の膜減りを防止するとともに、自己整合的にダミーゲートパターン以外の領域が保護されるようにしてダミーゲートパターンを除去することを目的とする。
【0009】
【課題を解決するための手段】
上記の目的を達成するために、本発明に係る半導体装置の製造方法は、ゲート絶縁膜を有する半導体装置の製造方法において、半導体基板上のゲート形成領域にダミーゲートパターンを形成する工程と、ダミーゲートパターンを除いた半導体基板上に第1の膜を形成する工程と、第1の膜上に第2の膜を形成する工程と、ダミーゲートパターンを選択的に除去する工程と、ダミーゲートパターンが除去された凹部の内壁にゲート絶縁膜を形成する工程と、ゲート絶縁膜が形成された凹部にゲート電極を形成する工程とを備え、第2の膜は、ダミーゲートパターンと第1の膜に対してエッチングレートの選択比を取れる材料を用いることを特徴とする。
【0010】
この構成によって、ダミーゲートパターンを除去する際に、層間絶縁膜の著しい膜減りを防ぐことができ、かつ自己整合的にダミーゲートパターン以外の領域を保護することができる。
【0011】
上記の製造方法において、ゲート絶縁膜を形成する工程は、凹部の底面上のみにゲート絶縁膜を形成することを特徴とする。
【0012】
この構成によって、ゲート電極の寸法はゲート絶縁膜の膜厚に依らず、制御性よく形成できるので、トランジスタの特性バラツキが低減できる。
【0013】
上記の製造方法において、ダミーゲートパターンをマスクとして半導体基板に低濃度の不純物拡散層を形成する工程と、ダミーゲートパターンの側壁にサイドウォールを形成する工程と、サイドウォールとダミーゲートパターンをマスクとして半導体基板に高濃度の不純物拡散層を形成する工程とを備えたことが好ましい。
【0014】
上記の製造方法において、第1の膜を形成する工程は、ダミーゲートパターンを含む半導体基板上に第1の膜をダミーゲートパターンの高さ以上の膜厚で堆積する工程と、ダミーゲートパターンが露出しないように第1の膜を平坦化する工程と、ダミーゲートパターンが露出するまで第1の膜を除去する工程とをさらに包含することが好ましい。
【0015】
上記の製造方法において、第2の膜を形成する工程は、ダミーゲートパターンを含む半導体基板上に第2の膜をダミーゲートパターンの高さ以上の膜厚で堆積する工程と、ダミーゲートパターンが露出するまで第2の膜を平坦化する工程とをさらに包含することが好ましい。
【0016】
上記の製造方法において、ダミーゲートパターンは、ポリシリコン膜で形成され、第1の膜は、高濃度に不純物が添加されたシリコン酸化膜であり、第2の膜は、不純物が実質的に添加されていないシリコン酸化膜であることが好ましい。
【0017】
【発明の実施の形態】
(第1の実施形態)
以下、本発明の第1の実施形態に係るゲート絶縁膜を有する半導体装置の製造方法について図1〜図5を用いて説明する。
【0018】
まず、図1(a)に示すように、半導体基板1001上に素子分離層1002を形成する。ここでは、(100)面のシリコン基板1001上にSTI(Shallow Trench Isolation)法によりシリコン酸化膜1002を形成する。
【0019】
なお、シリコン基板に代えてSiGe又はGaAs基板など他の半導体基板も適用できる。また、STI法に代えてLOCOS法など他の素子分離法も適用できる。
【0020】
次に、図1(b)に示すように、自然酸化膜1016を除去する。ここでは、自然酸化膜1016をDHF(例えば、HF:H2O=1:200)を用いてエッチング除去して、活性領域のシリコン基板1001の表面を露出させる。
【0021】
次に、シリコン基板1001上のゲート電極の形成領域にダミーゲートパターン1100を形成する。ここでは、シリコン基板1001上に下地膜としてシリコン酸化膜1101を厚さ5nm程度で形成し、この上にポリシリコン膜1102を膜厚200nm程度で形成する。この後、リソグラフィー法及びRIE法を用いてポリシリコン膜1102とシリコン酸化膜1101を順次加工してダミーゲートパターン1100を形成する。
【0022】
なお、ポリシリコン膜に代えて窒化シリコン膜など他の堆積膜も適用できる。つまり、ダミーゲートパターン1100に使用される材料は、後の工程での素子分離層のシリコン酸化膜1002に対して選択的に除去できる膜種であればよい。特に、ポリシリコン膜の場合は、ポリシリコン膜をRIEでエッチングする時にシリコン酸化膜1101に対してエッチングの選択比がとりやすい。したがって、シリコン基板1001へのRIEによるエッチングダメージが抑制できる。
【0023】
また、ダミーゲートパターン1100の断面形状は後の工程で形成するゲート電極と相似形である。例えば、ゲート電極と略等しい形状としてもよい。
【0024】
次に、図1(c)に示すように、低濃度の不純物拡散層1006,1007を形成する。ここでは、ダミーゲートパターン1100をマスクとして、例えば、リン(P)を50keV、1×1014cm-2程度でイオン注入する。その後、非酸化雰囲気中で熱処理を行って不純物の活性化を行う。これにより、低濃度の不純物拡散層1006,1007であるn-型拡散層を形成した。
【0025】
ここで、不純物拡散層1006,1007はシリコン基板1001内であってダミーゲートパターン1100に隣接するように形成されている。言い換えれば、不純物拡散層1006,1007は後の工程で形成されるゲート電極パターン1003の側方(近傍)のシリコン基板1001内に形成されている。
【0026】
次に、図2(a)に示すように、ダミーゲートパターン1100の側壁にサイドウォールであるシリコン酸化膜1104を形成する。ここでは、シリコン基板1001上にシリコン酸化膜1104を厚さ20nm程度で形成した後、シリコン酸化膜1104を全面にRIEを行う。これにより、ダミーゲートパターン1100の側壁に膜厚20nm程度のサイドウォールであるシリコン酸化膜1104が形成される。
【0027】
次に、図2(b)に示すように、高濃度の不純物拡散層1010,1011を形成する。ここでは、ダミーゲートパターン1100とシリコン酸化膜1104をマスクとして、例えば、砒素(As)を40keV、1×1015〜5×1015cm-2程度でイオン注入する。その後、非酸化雰囲気中で熱処理を行って不純物の活性化を行う。これにより、高濃度の不純物拡散層1010,1011であるn+型拡散層を形成した。
【0028】
ここで、不純物拡散層1010,1011はシリコン基板1001内であってサイドウォールであるシリコン酸化膜1104に隣接するように形成されている。言い換えれば、不純物拡散層1010,1011はサイドウォールであるシリコン酸化膜1104の側方(近傍)のシリコン基板1001内に形成されている。
【0029】
また、上記のLDD構造を用いずに、不純物拡散層1006,1007のみ、あるいは不純物拡散層1010,1011のみを形成するシングルドレイン構造としてもよい。特に、不純物拡散層1006,1007のみを形成する場合、図2(a)〜(b)に示す、サイドウォールであるシリコン酸化膜1104を形成する工程と、不純物拡散層1010,1011を形成する工程とを省略すればよい。一方、不純物拡散層1010,1011のみを設ける構成の場合、図1(c)〜図2(a)に示す、不純物拡散層1006,1007を形成する工程と、サイドウォールであるシリコン酸化膜1104を形成する工程とを省略すればよい。
【0030】
次に、図2(c)に示すように、シリコン基板1001上の全面に第1の膜であるBPSG膜1105を形成する。ここでは、例えば、AP−CVD法により400〜500℃程度でBPSG膜1105を膜厚800nm程度で形成する。
【0031】
なお、AP−CVD法に代えて他のLP−CVD法により約800℃程度でBPSG膜1105を形成してもよい。この場合、上述した不純物拡散層1006,1007又は不純物拡散層1010,1011の非酸化雰囲気中での熱処理を行わず、このCVD工程の加熱で不純物拡散層の活性化を兼ねることができる。
【0032】
また、不純物拡散層1006,1007又は不純物拡散層1010,1011の拡散深さを抑える時は、上述した熱処理の温度を750℃程度と低温にし、950℃で10秒程度のRTA(Rapid Thermal Anneal)プロセスを併用して、イオン注入層の活性化を行ってもよい。
【0033】
次に、図3(a)に示すように、第1の膜であるBPSG膜1105を平坦化する。ここでは、CMPによってシリコン基板1001上方から全面にBPSG膜1105の平坦化を行う。この際、ダミーゲートパターン1100であるポリシリコン膜の表面を露出する必要はない。好ましくは、ポリシリコン膜の表面を露出しないようにBPSG膜1105を平坦化することが望ましい。
【0034】
次に、図3(b)に示すように、第1の膜であるBPSG膜1105をダミーゲートパターン1100が露出するまで除去する。ここでは、DHF(例えば、HF:H2O=1:100)を用いてダミーゲートパターン1100の半分程度が露出するまでBPSG膜1105を除去する。
【0035】
次に、図3(c)に示すように、第2の膜であるNSG(Non doped Silicate Glass)膜1106を形成する。ここでは、プラズマCVD法によりHDP−NSG(High Density Plasma-Non doped Silicate Glass)膜1106をダミーゲートパターン1100の高さ以上に形成する。
【0036】
次に、図4(a)に示すように、ダミーゲートパターン1100であるポリシリコン膜1102の表面を露出する。ここでは、CMPによってシリコン基板1001上方から全面にNSG膜1106の平坦化を行う。これにより、ダミーゲートパターン1100となるポリシリコン膜1102の表面を露出する。
【0037】
次に、図4(b)に示すように、ダミーゲートパターン1100を選択的に除去する。ここでは、水酸化カリウム(KOH)を用いて露出されたダミーゲートパターン1100をウェットエッチングにより選択的に除去する。これにより、ダミーゲートパターン1100が除去された凹部の底面にシリコン酸化膜1101又は素子分離層1002の表面が露出する。その後、DHFなどを用いて露出されたシリコン酸化膜1101をウェットエッチングにより除去する。
【0038】
なお、KOHを用いたウェットエッチングに代えて塩素ガス(Cl2)を用いたドライエッチングを行ってもよい。また、四弗化炭素(CF4)ガスを用いたCDE(Chemical Dry etching)を行ってもよい。さらに、DHFを用いたウェットエッチングを行ってもよい。
【0039】
その後、図4(c)に示すように、凹部の底面に露出されたシリコン基板1001の表面にチャネル領域1107を形成する。ここでは、NSG膜1106、サイドウォールであるシリコン酸化膜1104及びレジスト膜(図示せず)をマスクとして、所望のチャネル領域にのみイオン注入を行う。例えば、nチャネルトランジスタで0.7V程度のしきい値(Vth)を設定する場合、例えばボロン(B)を10keV、5×1012cm-2程度でイオン注入する。これにより、チャネル領域にのみ選択的にp型チャネル不純物層1107が形成される。
【0040】
次に、図5(a)に示すように、凹部に埋め込むようにしてゲート絶縁膜1004及びゲート電極1005を形成する。ここでは、ゲート絶縁膜1004には高誘電体膜を、ゲート電極1005にはタングステン膜をそれぞれ形成した。
【0041】
まず、凹部の内壁にシリコン基板1001上にゲート絶縁膜1004として機能する高誘電体膜であるハフニウム酸化膜を形成する。ここでは、金属のハフニウムターゲットと、アルゴン(Ar)ガスと酸素(O2)ガスの混合ガスを用いてパワー200Wでスパッタ蒸着を行う。これにより、金属の酸化膜であるハフニウム酸化膜1004が膜厚3nm程度で形成される。
【0042】
なお、金属の酸化物としてハフニウム酸化物自体をターゲットとしてスパッタ蒸着を行ってもよい。また、スパッタ蒸着に代えてCVD法を用いてハフニウム酸化膜1004を形成してもよい。例えば、酸化ハフニウムを堆積する条件としては、堆積温度400℃、圧力30Pa、原料ガスはテトラジエチルアミノハフニウム、酸化ガスは酸素を用いることができる。ここで、テトラジエチルアミノハフニウム流量は0.1ml/min、キャリア窒素流量は500ml/min、酸素流量は500ml/minとした。
【0043】
次に、凹部にゲート電極1005としてタングステン膜を形成する。ここでは、ハフニウム酸化膜1004上にタングステン膜を膜厚100nm程度で形成した。タングステン膜1005は金属のタングステンをターゲットとしてアルゴンガスを用いてパワー300Wでスパッタ蒸着により形成した。
【0044】
なお、金属膜であるタングステン膜に代えて窒化タンタル(TaN)膜やポリシリコン膜など他の導電体膜も適用できる。ここで、タングステン及び窒化タンタルはミッドギャップの金属であるので、nチャネルトランジスタ及びp型nチャネルトランジスタの双方に用いることができる。すなわち、タングステンや窒化タンタルを使用することにより、トランジスタのしきい値がnチャネルトランジスタとp型nチャネルトランジスタとで差が小さい。したがって、双方のトランジスタを有するCMOS型の半導体装置には特に有利である。
【0045】
また、窒化タンタル膜を用いる場合、窒化タンタル膜はハフニウム及びジルコニウムの酸化膜の電極として用いることによりハフニウム酸化膜及びジルコニウム酸化膜の耐熱性が向上する。したがって、耐熱性の観点からは窒化タンタル膜を使用することが望ましい。
【0046】
次に、CMPによってシリコン基板1001上方から全面にゲート電極1005及びゲート絶縁膜1004の平坦化を順次行う。これにより、凹部内に埋め込むようにしてゲート電極1105とゲート絶縁膜1004が形成される。
【0047】
次に、図5(b)に示すように、周知の技術を用いて、層間絶縁膜1012にコンタクトホール1017を形成した後、引き出し配線1013,1014,1015を順次形成する。
【0048】
まず、シリコン基板1001上に層間絶縁膜1012を形成する。その後、第1の膜1105、第2の膜1106及び層間絶縁膜1012にソース拡散層、ドレイン拡散層及びゲート電極に達するコンタクトホール1017を形成する。
【0049】
次に、コンタクトホール1017を形成した層間絶縁膜1012上にバリア膜である窒化チタン膜と導電体膜であるタングステン膜を順次形成する。この後、タングステン膜と窒化チタン膜を順次加工してソース拡散層、ドレイン拡散層及びゲート電極に接続する引き出し配線1013,1014,1015を形成して、第1の実施形態の半導体装置が完成する。
【0050】
本実施形態では第1の膜1105にドープド酸化膜としてBPSG膜を、第2の膜1106にノンドープド酸化膜としてNSG膜をそれぞれ用い、そしてダミーゲートパターン1100はポリシリコン膜で形成されている。このため、ダミーゲートパターン1100であるポリシリコン膜を除去する際に、第2の膜1106はダミーゲートパターン1100に対してエッチングの選択比を取れる材料になっている。したがって、第2の膜1106はほとんどエッチングされることはなく、その膜減りは防止できる。
【0051】
言い換えれば、第2の膜1106のエッチングレートがダミーゲートパターン1100を形成する材料のエッチングレートに比べて低くなるように、第2の膜1106とダミーゲートパターン1100を形成する材料を選択すればよい。
【0052】
また、ダミーゲートパターン1100近傍の埋め込み特性を向上させるため、第1の膜1105は高濃度のBPSG膜のように加工処理に優れ、平坦化が容易なドープド酸化膜を用いている。一般的に、ドープド酸化膜のエッチングレートは高いが、第2の膜1106を形成することにより第1の膜1105はポリシリコン膜のエッチングから保護される。
【0053】
言い換えれば、第1の膜1105はエッチングレートが高くても使用することができ、ダミーゲートパターン1100近傍において第1の膜1105の埋め込み特性は向上できる。したがって、第2の膜1106も平坦に形成され、第2の膜1106は自己整合的にダミーゲートパターン1100以外の領域を保護することができる。
【0054】
以上から、本実施形態によると、ダミーゲートパターンを除去する際に、層間絶縁膜の著しい膜減りを防ぐことができ、かつ自己整合的にダミーゲートパターン以外の領域を保護することができる。
【0055】
また、ソース、ドレインの活性化の熱処理工程及び層間絶縁膜のリフロー工程などの高温処理をゲート絶縁膜である高誘電体膜の形成前に行うことができるので、高誘電体膜は高温処理を受けることがないため、リーク電流が増加するなどのゲート絶縁膜の劣化を抑えることができる。
【0056】
また、トランジスタのチャネル長がリソグラフィーの限界で決まるような寸法よりもゲート絶縁膜の膜厚を小さくでき、短チャネル化によりトランジスタの性能を向上させることができる。
【0057】
(第2の実施形態)
以下、本発明の第2の実施形態に係るゲート絶縁膜を有する半導体装置の製造方法について図6を用いて説明する。第2の実施形態は第1の実施形態の変形例であり、図1〜図4に示す工程は同一なので説明は省略する。
【0058】
図6(a)に示すように、凹部の底面のみにゲート絶縁膜1004に形成した後、凹部に埋め込むようにしてゲート電極1005を形成する。ここでも、第1の実施形態と同様に、ゲート絶縁膜1004には高誘電体膜を、ゲート電極にはタングステン膜をそれぞれ形成した。
【0059】
まず、凹部の底面のみにシリコン基板1001上にゲート絶縁膜1004として機能する高誘電体膜であるハフニウム酸化膜を選択的に形成する。ここでは、ハフニウム酸化物自体をターゲットとして指向性の高いロングスロースパッタ蒸着を行う。これにより、凹部の底面のみにハフニウム酸化膜1004が膜厚3nm程度で形成される。ここでは、ハフニウム酸化膜堆積にロングスロースパッタ蒸着を用いたが、コリメーションスパッタ蒸着などの他の指向性の高い堆積手法を用いてもよい。
【0060】
次に、第1の実施形態と同様に、凹部にゲート電極1005としてタングステン膜を形成する。ここでは、第2の膜1106上にタングステン膜を膜厚100nm程度で形成した。その後、CMPによってシリコン基板1001上方から全面にゲート電極1005の平坦化を行う。これにより、凹部内に埋め込むようにしてゲート電極1005が形成される。
【0061】
次に、図6(b)に示すように、第1の実施形態と同様にして、層間絶縁膜1012とコンタクトホール1017と引き出し配線1013,1014,1015を順次形成して、第2の実施形態の半導体装置が完成する。
【0062】
以上から、本実施形態によると、第1の実施形態と同一の効果に加えて、さらに、ゲート絶縁膜1004はゲート電極1005の底面のみに形成されるので、ゲート電極の寸法(特に、ゲート長)はゲート絶縁膜の膜厚に依らず、制御性よく形成できるので、トランジスタの特性バラツキが低減できる。また、誘電率を高くしたいのは凹部の底面のみであり、それ以外の部分は容量遅延効果を考慮すれば誘電率は低い方が好ましい。凹部の底面のみにハフニウム酸化膜を堆積させれば、トランジスタの特性を向上させることが可能となる。
【0063】
(その他の実施形態)
なお、本実施形態では、ゲート絶縁膜として高誘電体膜を使用したが、シリコン酸化膜又は窒化シリコン酸化膜などの他の絶縁膜も適用できる。
【0064】
また、ゲート絶縁膜としてハフニウム酸化膜などの高誘電体膜を用いる場合、半導体基板とゲート絶縁膜との間にシリコン窒化膜を形成してもよい。
【0065】
なお、本実施形態で用いた高誘電体膜は、シリコン酸化物より大きい比誘電率を有する膜であり、例えば、アルミニウム(Al)、ジルコニウム(Zr)、ハフニウム(Hf)、タンタル(Ta)、チタン(Ti)、ランタン(La)などの何れか一種又は二種以上から選択された酸化膜が望ましい。特に、比誘電率の利点からジルコニウム、ハフニウム、タンタルの酸化物などを選択することが望ましい。このハフニウムとジルコニウムは化学的な類似性の観点から本実施形態において、ハフニウム酸化物の代わりにジルコニウム酸化物を使うことができる。また、これらの代りに、ハフニウム−ジルコニウム混合酸化物を使うことができる。さらに、ハフニウム酸化物又はジルコニウム酸化物は、純粋な酸化物の場合でもよいし、オキシ窒化ハフニウム、オキシ窒化ジルコニウム又はオキシ窒化ハフニウム・シリコン、オキシ窒化ジルコニウム・シリコンも、高い比誘電率を持ち、良好な化学的な安定性を持つため本実施形態のハフニウム酸化物に代えて用いることができる。
【0066】
【発明の効果】
以上説明したように、本発明に係る半導体装置の製造方法は、ダミーゲートパターンを除去する際に、層間絶縁膜の著しい膜減りを防ぐことができ、かつ自己整合的にダミーゲートパターン以外の領域を保護することができる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係わる半導体装置の製造工程を示す断面図
【図2】本発明の第1の実施形態に係わる半導体装置の製造工程を示す断面図
【図3】本発明の第1の実施形態に係わる半導体装置の製造工程を示す断面図
【図4】本発明の第1の実施形態に係わる半導体装置の製造工程を示す断面図
【図5】本発明の第1の実施形態に係わる半導体装置の製造工程を示す断面図
【図6】本発明の第2の実施形態に係わる半導体装置の製造工程を示す断面図
【符号の説明】
1001 半導体基板
1002 素子分離層
1003 ゲート電極パターン
1004 ハフニウム酸化膜(ゲート絶縁膜)
1005 タングステン膜(ゲート電極)
1006,1007 低濃度の不純物拡散層
1010,1011 高濃度の不純物拡散層
1012 層間絶縁膜
1013,1014,1015 引き出し配線
1100 ダミーゲートパターン
1101 シリコン酸化膜(下地膜)
1102 ポリシリコン膜
1104 シリコン酸化膜(サイドウォール)
1105 BPSG膜(第1の膜)
1106 NSG膜(第2の膜)
1107 チャネル領域
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device having a gate insulating film made of a high dielectric material.
[0002]
[Prior art]
In recent years, high speed operation and low power consumption have been demanded for semiconductor devices. Here, in order to achieve high speed, for example, it is necessary to increase the gate current of the MOSFET to increase the drive current. Therefore, in a structure using a silicon oxide film or a silicon oxynitride film as a gate oxide film of the MOSFET, the gate oxide film has a thin film thickness in order to increase the gate capacitance. However, if the film thickness is reduced to 1.5 nm or less, the leakage current flowing through the capacitor increases, so it is difficult to reduce power consumption even if high-speed operation can be realized, and the original operation of the capacitor to accumulate charge is also possible. There was a problem of difficulty.
[0003]
Therefore, as a gate insulating film material of the MOSFET, a metal oxide film having a higher dielectric constant than a silicon oxide film (relative dielectric constant: K = 3.9), for example, an aluminum oxide film (K = 9), a zirconium oxide film ( Attempts have been made to apply K = 20), hafnium oxide films (K = 20), tantalum oxide films (K = 25), titanium oxide films (K = 40), and the like. Since the relative dielectric constant of these metal oxide films is larger than that of silicon oxide films, the amount of charge accumulation increases, and even if the capacitance value is the same, the actual physical film thickness can be set thick. The increase can be suppressed (for example, Journal of Applied Physics vol. 89 5243 (2001)).
[0004]
Japanese Patent Laid-Open No. 10-189966 has proposed a method of manufacturing a semiconductor device using such a high dielectric film. In this manufacturing method, after forming a dummy gate pattern on a silicon substrate, an impurity diffusion layer is formed on the silicon substrate.
[0005]
Next, after forming an interlayer insulating film on the silicon substrate, the interlayer insulating film formed on the silicon substrate is planarized by a method such as CMP (Chemical Mechanical Polishing) to expose the surface of the dummy gate pattern. . Thereafter, after selectively removing the dummy gate pattern, a gate insulating film and a gate electrode are formed.
[0006]
[Problems to be solved by the invention]
However, when the interlayer insulating film is formed in the above conventional example, in order to improve the embedding characteristic in the vicinity of the dummy gate pattern, a high concentration that can be easily flattened by processing such as a BPSG (Born Phosphorous doped Silicate Glass) film. A silicon oxide film doped with impurities (hereinafter referred to as a doped oxide film) is used as an interlayer insulating film. In general, a doped oxide film has a higher etching rate than a silicon oxide film (hereinafter referred to as a non-doped oxide film) to which impurities are not substantially added during etching. For this reason, when the dummy gate pattern is removed, the interlayer insulating film is also etched to reduce the film thickness.
[0007]
Furthermore, it is conceivable to remove the dummy gate pattern by protecting the region other than the dummy gate pattern with a resist film, but the mask alignment process becomes very difficult when the gate pattern is miniaturized. Therefore, when removing the dummy gate pattern, it is desirable to protect the region other than the dummy gate pattern in a self-aligning manner.
[0008]
An object of the present invention is to solve such a problem, and an object of the present invention is to prevent a reduction in the thickness of an interlayer insulating film and to remove a dummy gate pattern so that a region other than the dummy gate pattern is protected in a self-aligning manner. To do.
[0009]
[Means for Solving the Problems]
In order to achieve the above object, a method of manufacturing a semiconductor device according to the present invention includes a step of forming a dummy gate pattern in a gate formation region on a semiconductor substrate, a method of manufacturing a semiconductor device having a gate insulating film, Forming a first film on the semiconductor substrate excluding the gate pattern, forming a second film on the first film, selectively removing the dummy gate pattern, and a dummy gate pattern A step of forming a gate insulating film on the inner wall of the recess from which the gate is removed, and a step of forming a gate electrode in the recess from which the gate insulating film is formed. The second film includes a dummy gate pattern and a first film. The material is characterized by using a material that can take an etching rate selection ratio.
[0010]
With this configuration, when the dummy gate pattern is removed, it is possible to prevent the interlayer insulating film from being significantly reduced and to protect regions other than the dummy gate pattern in a self-aligning manner.
[0011]
In the above manufacturing method, the step of forming the gate insulating film is characterized in that the gate insulating film is formed only on the bottom surface of the recess.
[0012]
According to this configuration, the dimensions of the gate electrode can be formed with good controllability regardless of the thickness of the gate insulating film, so that variation in transistor characteristics can be reduced.
[0013]
In the above manufacturing method, a step of forming a low-concentration impurity diffusion layer on the semiconductor substrate using the dummy gate pattern as a mask, a step of forming a sidewall on the sidewall of the dummy gate pattern, and using the sidewall and the dummy gate pattern as a mask And a step of forming a high concentration impurity diffusion layer on the semiconductor substrate.
[0014]
In the above manufacturing method, the step of forming the first film includes the step of depositing the first film on the semiconductor substrate including the dummy gate pattern with a thickness greater than the height of the dummy gate pattern, and the dummy gate pattern. It is preferable to further include a step of planarizing the first film so as not to be exposed and a step of removing the first film until the dummy gate pattern is exposed.
[0015]
In the above manufacturing method, the step of forming the second film includes the step of depositing the second film on the semiconductor substrate including the dummy gate pattern with a film thickness equal to or greater than the height of the dummy gate pattern, and the dummy gate pattern. It is preferable to further include a step of planarizing the second film until it is exposed.
[0016]
In the above manufacturing method, the dummy gate pattern is formed of a polysilicon film, the first film is a silicon oxide film doped with impurities at a high concentration, and the second film is substantially doped with impurities. It is preferable that the silicon oxide film is not formed.
[0017]
DETAILED DESCRIPTION OF THE INVENTION
(First embodiment)
A method for manufacturing a semiconductor device having a gate insulating film according to the first embodiment of the present invention will be described below with reference to FIGS.
[0018]
First, as illustrated in FIG. 1A, an element isolation layer 1002 is formed on a semiconductor substrate 1001. Here, a silicon oxide film 1002 is formed on a (100) -plane silicon substrate 1001 by STI (Shallow Trench Isolation).
[0019]
Note that other semiconductor substrates such as a SiGe or GaAs substrate can be used instead of the silicon substrate. In addition, other element isolation methods such as the LOCOS method can be applied instead of the STI method.
[0020]
Next, as shown in FIG. 1B, the natural oxide film 1016 is removed. Here, the natural oxide film 1016 is formed of DHF (for example, HF: H 2 The surface of the silicon substrate 1001 in the active region is exposed by etching using O = 1: 200).
[0021]
Next, a dummy gate pattern 1100 is formed in the gate electrode formation region on the silicon substrate 1001. Here, a silicon oxide film 1101 having a thickness of about 5 nm is formed on the silicon substrate 1001 as a base film, and a polysilicon film 1102 having a thickness of about 200 nm is formed thereon. Thereafter, the polysilicon film 1102 and the silicon oxide film 1101 are sequentially processed by lithography and RIE to form a dummy gate pattern 1100.
[0022]
Note that other deposited films such as a silicon nitride film can be used instead of the polysilicon film. That is, the material used for the dummy gate pattern 1100 may be any film type that can be selectively removed with respect to the silicon oxide film 1002 of the element isolation layer in a later process. In particular, in the case of a polysilicon film, the etching selectivity with respect to the silicon oxide film 1101 can be easily obtained when the polysilicon film is etched by RIE. Therefore, etching damage due to RIE on the silicon substrate 1001 can be suppressed.
[0023]
The cross-sectional shape of the dummy gate pattern 1100 is similar to that of a gate electrode formed in a later process. For example, the shape may be substantially the same as the gate electrode.
[0024]
Next, as shown in FIG. 1C, low-concentration impurity diffusion layers 1006 and 1007 are formed. Here, using dummy gate pattern 1100 as a mask, for example, phosphorus (P) is 50 keV, 1 × 10 6. 14 cm -2 Ion implantation at a degree. Thereafter, heat treatment is performed in a non-oxidizing atmosphere to activate the impurities. As a result, the low-concentration impurity diffusion layers 1006 and 1007 are n. - A mold diffusion layer was formed.
[0025]
Here, the impurity diffusion layers 1006 and 1007 are formed in the silicon substrate 1001 so as to be adjacent to the dummy gate pattern 1100. In other words, the impurity diffusion layers 1006 and 1007 are formed in the silicon substrate 1001 on the side (near) the gate electrode pattern 1003 formed in a later step.
[0026]
Next, as shown in FIG. 2A, a silicon oxide film 1104 as a sidewall is formed on the sidewall of the dummy gate pattern 1100. Here, after forming a silicon oxide film 1104 with a thickness of about 20 nm on the silicon substrate 1001, RIE is performed on the entire surface of the silicon oxide film 1104. As a result, a silicon oxide film 1104 which is a sidewall having a thickness of about 20 nm is formed on the sidewall of the dummy gate pattern 1100.
[0027]
Next, as shown in FIG. 2B, high-concentration impurity diffusion layers 1010 and 1011 are formed. Here, for example, arsenic (As) is 40 keV, 1 × 10 6 using the dummy gate pattern 1100 and the silicon oxide film 1104 as a mask. 15 ~ 5x10 15 cm -2 Ion implantation at a degree. Thereafter, heat treatment is performed in a non-oxidizing atmosphere to activate the impurities. As a result, the high-concentration impurity diffusion layers 1010 and 1011 are n + A mold diffusion layer was formed.
[0028]
Here, the impurity diffusion layers 1010 and 1011 are formed in the silicon substrate 1001 so as to be adjacent to the silicon oxide film 1104 which is a sidewall. In other words, the impurity diffusion layers 1010 and 1011 are formed in the silicon substrate 1001 on the side (near the side) of the silicon oxide film 1104 which is a sidewall.
[0029]
Further, a single drain structure in which only the impurity diffusion layers 1006 and 1007 or only the impurity diffusion layers 1010 and 1011 may be formed without using the LDD structure. In particular, when only the impurity diffusion layers 1006 and 1007 are formed, the step of forming the silicon oxide film 1104 which is a sidewall and the step of forming the impurity diffusion layers 1010 and 1011 shown in FIGS. Can be omitted. On the other hand, in the case where only the impurity diffusion layers 1010 and 1011 are provided, the step of forming the impurity diffusion layers 1006 and 1007 and the silicon oxide film 1104 which is a sidewall shown in FIGS. The step of forming may be omitted.
[0030]
Next, as shown in FIG. 2C, a BPSG film 1105 as a first film is formed on the entire surface of the silicon substrate 1001. Here, for example, the BPSG film 1105 is formed with a film thickness of about 800 nm at about 400 to 500 ° C. by the AP-CVD method.
[0031]
Note that the BPSG film 1105 may be formed at about 800 ° C. by another LP-CVD method instead of the AP-CVD method. In this case, heat treatment in the non-oxidizing atmosphere of the impurity diffusion layers 1006 and 1007 or the impurity diffusion layers 1010 and 1011 described above can be performed and the impurity diffusion layer can be activated by heating in the CVD process.
[0032]
Further, when suppressing the diffusion depth of the impurity diffusion layers 1006 and 1007 or the impurity diffusion layers 1010 and 1011, the temperature of the heat treatment described above is lowered to about 750 ° C., and RTA (Rapid Thermal Anneal) is performed at 950 ° C. for about 10 seconds. The ion implantation layer may be activated by using a process together.
[0033]
Next, as shown in FIG. 3A, the BPSG film 1105 as the first film is planarized. Here, the BPSG film 1105 is planarized over the entire surface from above the silicon substrate 1001 by CMP. At this time, it is not necessary to expose the surface of the polysilicon film which is the dummy gate pattern 1100. Preferably, the BPSG film 1105 is planarized so as not to expose the surface of the polysilicon film.
[0034]
Next, as shown in FIG. 3B, the BPSG film 1105 as the first film is removed until the dummy gate pattern 1100 is exposed. Here, DHF (for example, HF: H 2 O = 1: 100), and the BPSG film 1105 is removed until about half of the dummy gate pattern 1100 is exposed.
[0035]
Next, as shown in FIG. 3C, an NSG (Non doped Silicate Glass) film 1106 as a second film is formed. Here, an HDP-NSG (High Density Plasma-Non doped Silicate Glass) film 1106 is formed to be equal to or higher than the height of the dummy gate pattern 1100 by plasma CVD.
[0036]
Next, as shown in FIG. 4A, the surface of the polysilicon film 1102 which is the dummy gate pattern 1100 is exposed. Here, the NSG film 1106 is planarized over the entire surface from above the silicon substrate 1001 by CMP. As a result, the surface of the polysilicon film 1102 that becomes the dummy gate pattern 1100 is exposed.
[0037]
Next, as shown in FIG. 4B, the dummy gate pattern 1100 is selectively removed. Here, the dummy gate pattern 1100 exposed using potassium hydroxide (KOH) is selectively removed by wet etching. As a result, the surface of the silicon oxide film 1101 or the element isolation layer 1002 is exposed on the bottom surface of the recess from which the dummy gate pattern 1100 is removed. Thereafter, the exposed silicon oxide film 1101 is removed by wet etching using DHF or the like.
[0038]
It should be noted that chlorine gas (Cl 2 May be used for dry etching. Carbon tetrafluoride (CF Four ) CDE (Chemical Dry etching) using gas may be performed. Further, wet etching using DHF may be performed.
[0039]
Thereafter, as shown in FIG. 4C, a channel region 1107 is formed on the surface of the silicon substrate 1001 exposed at the bottom surface of the recess. Here, ion implantation is performed only in a desired channel region using the NSG film 1106, the silicon oxide film 1104 as a sidewall, and a resist film (not shown) as a mask. For example, when a threshold value (Vth) of about 0.7 V is set for an n-channel transistor, for example, boron (B) is set to 10 keV, 5 × 10 5 12 cm -2 Ion implantation at a degree. As a result, the p-type channel impurity layer 1107 is selectively formed only in the channel region.
[0040]
Next, as shown in FIG. 5A, a gate insulating film 1004 and a gate electrode 1005 are formed so as to be embedded in the recess. Here, a high dielectric film is formed as the gate insulating film 1004 and a tungsten film is formed as the gate electrode 1005.
[0041]
First, a hafnium oxide film which is a high dielectric film functioning as a gate insulating film 1004 is formed on the inner wall of the recess on the silicon substrate 1001. Here, a metal hafnium target, argon (Ar) gas and oxygen (O 2 ) Sputter deposition is performed with a power of 200 W using a gas mixture. As a result, a hafnium oxide film 1004 which is a metal oxide film is formed with a thickness of about 3 nm.
[0042]
Note that sputter deposition may be performed using a hafnium oxide itself as a target as a metal oxide. Alternatively, the hafnium oxide film 1004 may be formed using a CVD method instead of the sputter deposition. For example, as conditions for depositing hafnium oxide, a deposition temperature of 400 ° C., a pressure of 30 Pa, tetradiethylaminohafnium as a source gas, and oxygen as an oxidizing gas can be used. Here, the tetradiethylaminohafnium flow rate was 0.1 ml / min, the carrier nitrogen flow rate was 500 ml / min, and the oxygen flow rate was 500 ml / min.
[0043]
Next, a tungsten film is formed as a gate electrode 1005 in the recess. Here, a tungsten film with a thickness of about 100 nm is formed over the hafnium oxide film 1004. The tungsten film 1005 was formed by sputter deposition at a power of 300 W using argon gas with metallic tungsten as a target.
[0044]
Note that other conductive films such as a tantalum nitride (TaN) film and a polysilicon film can be applied instead of the tungsten film which is a metal film. Here, since tungsten and tantalum nitride are mid-gap metals, they can be used for both n-channel transistors and p-type n-channel transistors. That is, by using tungsten or tantalum nitride, the difference in threshold voltage between the n-channel transistor and the p-type n-channel transistor is small. Therefore, it is particularly advantageous for a CMOS type semiconductor device having both transistors.
[0045]
When a tantalum nitride film is used, the heat resistance of the hafnium oxide film and the zirconium oxide film is improved by using the tantalum nitride film as an electrode for the hafnium and zirconium oxide films. Therefore, it is desirable to use a tantalum nitride film from the viewpoint of heat resistance.
[0046]
Next, the gate electrode 1005 and the gate insulating film 1004 are sequentially planarized over the entire surface from above the silicon substrate 1001 by CMP. Thereby, the gate electrode 1105 and the gate insulating film 1004 are formed so as to be embedded in the recess.
[0047]
Next, as shown in FIG. 5B, contact holes 1017 are formed in the interlayer insulating film 1012 by using a well-known technique, and thereafter, lead wires 1013, 1014, and 1015 are sequentially formed.
[0048]
First, an interlayer insulating film 1012 is formed on the silicon substrate 1001. Thereafter, contact holes 1017 reaching the source diffusion layer, the drain diffusion layer, and the gate electrode are formed in the first film 1105, the second film 1106, and the interlayer insulating film 1012.
[0049]
Next, a titanium nitride film that is a barrier film and a tungsten film that is a conductor film are sequentially formed over the interlayer insulating film 1012 in which the contact holes 1017 are formed. Thereafter, the tungsten film and the titanium nitride film are sequentially processed to form lead wirings 1013, 1014, and 1015 connected to the source diffusion layer, the drain diffusion layer, and the gate electrode, thereby completing the semiconductor device of the first embodiment. .
[0050]
In this embodiment, a BPSG film is used as the doped oxide film for the first film 1105, an NSG film is used as the non-doped oxide film for the second film 1106, and the dummy gate pattern 1100 is formed of a polysilicon film. Therefore, when the polysilicon film that is the dummy gate pattern 1100 is removed, the second film 1106 is a material that can have an etching selectivity with respect to the dummy gate pattern 1100. Therefore, the second film 1106 is hardly etched, and the film loss can be prevented.
[0051]
In other words, the material for forming the second film 1106 and the dummy gate pattern 1100 may be selected so that the etching rate of the second film 1106 is lower than the etching rate of the material for forming the dummy gate pattern 1100. .
[0052]
In order to improve the embedding characteristics in the vicinity of the dummy gate pattern 1100, the first film 1105 is a doped oxide film that is excellent in processing and easily flattened like a high-concentration BPSG film. In general, the etching rate of the doped oxide film is high, but by forming the second film 1106, the first film 1105 is protected from the etching of the polysilicon film.
[0053]
In other words, the first film 1105 can be used even when the etching rate is high, and the embedding characteristics of the first film 1105 can be improved in the vicinity of the dummy gate pattern 1100. Therefore, the second film 1106 is also formed flat, and the second film 1106 can protect regions other than the dummy gate pattern 1100 in a self-aligning manner.
[0054]
As described above, according to the present embodiment, when the dummy gate pattern is removed, it is possible to prevent the interlayer insulating film from being significantly reduced, and to protect regions other than the dummy gate pattern in a self-aligning manner.
[0055]
In addition, high temperature processing such as heat treatment process for activating the source and drain and reflow process of the interlayer insulating film can be performed before the formation of the high dielectric film as the gate insulating film. Therefore, deterioration of the gate insulating film such as an increase in leakage current can be suppressed.
[0056]
Further, the thickness of the gate insulating film can be made smaller than a dimension in which the channel length of the transistor is determined by the limit of lithography, and the performance of the transistor can be improved by shortening the channel.
[0057]
(Second Embodiment)
A method for manufacturing a semiconductor device having a gate insulating film according to the second embodiment of the present invention will be described below with reference to FIGS. The second embodiment is a modification of the first embodiment, and the steps shown in FIGS.
[0058]
As shown in FIG. 6A, after forming the gate insulating film 1004 only on the bottom surface of the recess, the gate electrode 1005 is formed so as to be embedded in the recess. Here, as in the first embodiment, a high dielectric film is formed on the gate insulating film 1004 and a tungsten film is formed on the gate electrode.
[0059]
First, a hafnium oxide film which is a high dielectric film functioning as the gate insulating film 1004 is selectively formed on the silicon substrate 1001 only on the bottom surface of the recess. Here, long throw sputter deposition with high directivity is performed using the hafnium oxide itself as a target. As a result, the hafnium oxide film 1004 is formed with a film thickness of about 3 nm only on the bottom surface of the recess. Here, long throw sputter deposition is used for hafnium oxide film deposition, but other highly directional deposition techniques such as collimation sputter deposition may be used.
[0060]
Next, as in the first embodiment, a tungsten film is formed as a gate electrode 1005 in the recess. Here, a tungsten film was formed to a thickness of about 100 nm over the second film 1106. Thereafter, the gate electrode 1005 is planarized over the entire surface from above the silicon substrate 1001 by CMP. Thereby, the gate electrode 1005 is formed so as to be embedded in the recess.
[0061]
Next, as shown in FIG. 6B, in the same manner as in the first embodiment, an interlayer insulating film 1012, a contact hole 1017, and lead wirings 1013, 1014, and 1015 are sequentially formed to form the second embodiment. This completes the semiconductor device.
[0062]
As described above, according to the present embodiment, in addition to the same effects as those of the first embodiment, the gate insulating film 1004 is formed only on the bottom surface of the gate electrode 1005. ) Can be formed with good controllability regardless of the thickness of the gate insulating film, and therefore, variations in transistor characteristics can be reduced. In addition, it is preferable that the dielectric constant be increased only on the bottom surface of the recess, and the other portions should have a lower dielectric constant in consideration of the capacitance delay effect. If a hafnium oxide film is deposited only on the bottom surface of the recess, the characteristics of the transistor can be improved.
[0063]
(Other embodiments)
In this embodiment, a high dielectric film is used as the gate insulating film, but other insulating films such as a silicon oxide film or a silicon nitride oxide film can also be applied.
[0064]
When a high dielectric film such as a hafnium oxide film is used as the gate insulating film, a silicon nitride film may be formed between the semiconductor substrate and the gate insulating film.
[0065]
The high dielectric film used in the present embodiment is a film having a relative dielectric constant larger than that of silicon oxide. For example, aluminum (Al), zirconium (Zr), hafnium (Hf), tantalum (Ta), An oxide film selected from one or more of titanium (Ti), lanthanum (La) and the like is desirable. In particular, it is desirable to select an oxide of zirconium, hafnium, tantalum or the like from the advantage of relative permittivity. In the present embodiment, hafnium and zirconium can use zirconium oxide instead of hafnium oxide from the viewpoint of chemical similarity. Instead of these, a hafnium-zirconium mixed oxide can be used. Further, the hafnium oxide or zirconium oxide may be a pure oxide, and hafnium oxynitride, zirconium oxynitride or hafnium oxynitride silicon, and zirconium oxynitride silicon also have a high relative dielectric constant and are good. Therefore, it can be used in place of the hafnium oxide of this embodiment.
[0066]
【The invention's effect】
As described above, the method of manufacturing a semiconductor device according to the present invention can prevent a significant decrease in the interlayer insulating film when removing the dummy gate pattern, and can self-align regions other than the dummy gate pattern. Can be protected.
[Brief description of the drawings]
FIG. 1 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a first embodiment of the invention.
FIG. 2 is a cross-sectional view showing a manufacturing process of the semiconductor device according to the first embodiment of the invention.
FIG. 3 is a cross-sectional view showing a manufacturing process of the semiconductor device according to the first embodiment of the invention.
FIG. 4 is a cross-sectional view showing a manufacturing process of the semiconductor device according to the first embodiment of the invention.
FIG. 5 is a cross-sectional view showing a manufacturing process of the semiconductor device according to the first embodiment of the invention.
FIG. 6 is a cross-sectional view showing a manufacturing process of a semiconductor device according to a second embodiment of the present invention.
[Explanation of symbols]
1001 Semiconductor substrate
1002 Element isolation layer
1003 Gate electrode pattern
1004 Hafnium oxide film (gate insulating film)
1005 Tungsten film (gate electrode)
1006, 1007 Low-concentration impurity diffusion layer
1010,1011 High concentration impurity diffusion layer
1012 Interlayer insulating film
1013, 1014, 1015 Lead wiring
1100 Dummy gate pattern
1101 Silicon oxide film (underlying film)
1102 Polysilicon film
1104 Silicon oxide film (side wall)
1105 BPSG film (first film)
1106 NSG film (second film)
1107 channel region

Claims (2)

半導体基板上にシリコン酸化膜を形成する工程(a)と、
前記シリコン酸化膜上にポリシリコン膜を形成する工程(b)と、
前記シリコン酸化膜及び前記ポリシリコン膜をエッチングしてダミーゲートパターンを形成する工程(c)と、
前記ダミーゲートパターンの側壁にシリコン酸化膜からなるサイドウォールを形成する工程(d)と、
前記半導体基板、前記サイドウォールおよび前記ダミーゲートパターン上にBPSG膜からなる第1の膜を形成する工程(e)と、
前記第1の膜を、前記ダミーゲートパターンが半分程度露出するまで除去する工程(f)と、
前記第1の膜、前記サイドウォールおよび前記ダミーゲートパターン上にノンドープシリコン酸化膜からなる第2の膜を形成する工程(g)と、
前記第2の膜を、少なくとも前記ダミーゲートパターンが露出するまで平坦化する工程(h)と、
前記ダミーゲートパターンの前記ポリシリコン膜を前記第2の膜に対して選択的に除去した後、前記ダミーゲートパターンの前記シリコン酸化膜を除去する工程(i)と、
前記ダミーゲートパターンが除去された凹部の内壁にゲート絶縁膜を形成する工程(j)と、
前記ゲート絶縁膜が形成された凹部にゲート電極を形成する工程(k)とを備えていることを特徴とする半導体装置の製造方法。
Forming a silicon oxide film on the semiconductor substrate (a);
A step (b) of forming a polysilicon film on the silicon oxide film;
Etching the silicon oxide film and the polysilicon film to form a dummy gate pattern;
Forming a sidewall made of a silicon oxide film on the sidewall of the dummy gate pattern (d);
A step (e) of forming a first film made of a BPSG film on the semiconductor substrate, the sidewall and the dummy gate pattern;
The first film, the step (f) to remove before Symbol dummy gate pattern is exposed about half,
Forming a second film made of a non-doped silicon oxide film on the first film, the sidewall and the dummy gate pattern (g);
Flattening the second film until at least the dummy gate pattern is exposed; and
(I) removing the silicon oxide film of the dummy gate pattern after selectively removing the polysilicon film of the dummy gate pattern with respect to the second film;
Forming a gate insulating film on the inner wall of the recess from which the dummy gate pattern has been removed;
And a step (k) of forming a gate electrode in the recess in which the gate insulating film is formed.
前記工程(j)は、
前記凹部の底面上のみに前記ゲート絶縁膜を形成することを特徴とする請求項1に記載の半導体装置の製造方法。
The step (j)
The method for manufacturing a semiconductor device according to claim 1, wherein the gate insulating film is formed only on a bottom surface of the recess.
JP2002197051A 2002-07-05 2002-07-05 Manufacturing method of semiconductor device Expired - Fee Related JP3966102B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002197051A JP3966102B2 (en) 2002-07-05 2002-07-05 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002197051A JP3966102B2 (en) 2002-07-05 2002-07-05 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2004039963A JP2004039963A (en) 2004-02-05
JP3966102B2 true JP3966102B2 (en) 2007-08-29

Family

ID=31704920

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002197051A Expired - Fee Related JP3966102B2 (en) 2002-07-05 2002-07-05 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP3966102B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5070969B2 (en) * 2007-07-20 2012-11-14 ソニー株式会社 Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
JP2004039963A (en) 2004-02-05

Similar Documents

Publication Publication Date Title
JP3793190B2 (en) Manufacturing method of semiconductor device
US7220630B2 (en) Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US7465996B2 (en) Semiconductor device and method for fabricating the same
JP3790237B2 (en) Manufacturing method of semiconductor device
US20070184623A1 (en) Semiconductor device comprising buried channel region and method for manufacturing the same
US20050156238A1 (en) Silicide gate transistors and method of manufacture
WO2002093651A1 (en) Channel gate type field effect transistor and its manufacturing method
US6838326B2 (en) Semiconductor device, and method for manufacturing the same
JP3873771B2 (en) Manufacturing method of semiconductor device
JP3530026B2 (en) Semiconductor device and manufacturing method thereof
US20080023774A1 (en) Semiconductor device and method for fabricating the same
JP2006140319A (en) Semiconductor device and its fabrication process
JP3725465B2 (en) Semiconductor device and manufacturing method thereof
JP2006156807A (en) Semiconductor device and its manufacturing method
US5843826A (en) Deep submicron MOSFET device
JP2007317796A (en) Semiconductor device and method of manufacturing the same
US7915695B2 (en) Semiconductor device comprising gate electrode
JP2008103613A (en) Semiconductor device and manufacturing method thereof
JP2000049348A (en) Semiconductor device with elevated source drain structure and its manufacture
JP3394914B2 (en) Semiconductor device and manufacturing method thereof
JP2008198762A (en) Semiconductor device and manufacturing method thereof
JP3966102B2 (en) Manufacturing method of semiconductor device
JP5280434B2 (en) Formation of separation layers in semiconductor devices.
US6521517B1 (en) Method of fabricating a gate electrode using a second conductive layer as a mask in the formation of an insulating layer by oxidation of a first conductive layer
JP4984697B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050307

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20050707

A977 Report on retrieval

Effective date: 20061221

Free format text: JAPANESE INTERMEDIATE CODE: A971007

A131 Notification of reasons for refusal

Effective date: 20070116

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Effective date: 20070226

Free format text: JAPANESE INTERMEDIATE CODE: A523

A131 Notification of reasons for refusal

Effective date: 20070320

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070410

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070508

A61 First payment of annual fees (during grant procedure)

Effective date: 20070521

Free format text: JAPANESE INTERMEDIATE CODE: A61

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100608

Year of fee payment: 3

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 3

Free format text: PAYMENT UNTIL: 20100608

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 4

Free format text: PAYMENT UNTIL: 20110608

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120608

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees