JP2005223360A - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP2005223360A
JP2005223360A JP2005113645A JP2005113645A JP2005223360A JP 2005223360 A JP2005223360 A JP 2005223360A JP 2005113645 A JP2005113645 A JP 2005113645A JP 2005113645 A JP2005113645 A JP 2005113645A JP 2005223360 A JP2005223360 A JP 2005223360A
Authority
JP
Japan
Prior art keywords
fluorine
hard mask
added carbon
film
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005113645A
Other languages
Japanese (ja)
Other versions
JP4260764B2 (en
Inventor
Hikaru Yoshitaka
高 光 義
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005113645A priority Critical patent/JP4260764B2/en
Publication of JP2005223360A publication Critical patent/JP2005223360A/en
Application granted granted Critical
Publication of JP4260764B2 publication Critical patent/JP4260764B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To improve reliability, while taking into consideration the correspondence to speed enhancement, in a semiconductor device having an insulating layer composed of fluorine added carbon. <P>SOLUTION: A wiring layer 102, in which an element is formed on a semiconductor substrate is formed, and on the wiring layer 102, a fluorine added carbon film 103 is formed. Then, on the fluorine added carbon film 103, a hard mask 105 made of SiCN is formed. The hard mask 105 is formed by chemical vapor deposition method, with a gas containing hydrogen such as SiH<SB>4</SB>and C<SB>2</SB>H<SB>4</SB>, and gas containing nitrogen such as N<SB>2</SB>as a source gas. Thereafter, the fluorine added carbon film 103 is selectively etched with the hard mask 105 selectively etched as a mask, to form a new wiring layer 104 on the hard mask 105. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

この発明は、フッ素添加カーボンからなる層を絶縁層として用いた半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device using a layer made of fluorine-added carbon as an insulating layer.

半導体集積回路の高集積化を図るために、配線などのパターンの微細化や回路の多層化が進められている。その中の1つとして、配線を多層に構成する多層配線技術がある。この多層配線技術においては、上下の配線層間を所定の領域に配置された導電部で接続するとともに、その導電部以外の領域の層間は絶縁体からなる層間絶縁膜を配置して分離するようにしている。   In order to increase the integration density of semiconductor integrated circuits, patterns such as wiring and the like are being miniaturized and circuits are being multilayered. One of them is a multilayer wiring technique in which wirings are configured in multiple layers. In this multilayer wiring technology, the upper and lower wiring layers are connected by a conductive portion arranged in a predetermined region, and an interlayer insulating film made of an insulator is arranged and separated between regions other than the conductive portion. ing.

この層間絶縁膜に用いる代表的な材料としては酸化シリコン(SiO)があるが、近年集積回路の動作についてより一層の高速化を図るために、層間絶縁膜の比誘電率を低くすることが要求されている。すなわち、SiOは比誘電率がε=4程度であり、これよりも比誘電率が小さい材料の開発が盛んになされている。 A typical material used for the interlayer insulating film is silicon oxide (SiO 2 ). In recent years, in order to further increase the operation speed of the integrated circuit, the relative dielectric constant of the interlayer insulating film may be lowered. It is requested. That is, SiO 2 has a relative dielectric constant of about ε = 4, and development of a material having a relative dielectric constant smaller than this is actively made.

そのSiOよりも比誘電率が小さい材料として、例えば炭素とフッ素とからなるフッ素添加カーボン膜がある。このフッ素添加カーボン膜は、例えば、電子サイクロトロン共鳴(ECR)を用いたプラズマ成膜処理により形成できる。 As a material having a relative dielectric constant smaller than that of SiO 2 , for example, there is a fluorine-added carbon film made of carbon and fluorine. This fluorine-added carbon film can be formed by, for example, a plasma film forming process using electron cyclotron resonance (ECR).

この成膜方法の説明をすると、図8に示す成膜装置において、まず、プラズマ生成室801a内に,高周波電源部802より導波管802aを介し,2.45GHzのマイクロ波を供給する。それとともに、875ガウスの磁界を磁界コイル803,803aにより印加し、導入管804より導入されているArガスを電子サイクロトロン共鳴により高密度にプラズマ化する。   This film forming method will be described. First, in the film forming apparatus shown in FIG. 8, a microwave of 2.45 GHz is supplied into the plasma generation chamber 801a from the high frequency power supply unit 802 through the waveguide 802a. At the same time, a magnetic field of 875 Gauss is applied by the magnetic field coils 803 and 803a, and the Ar gas introduced from the introduction tube 804 is turned into a high density plasma by electron cyclotron resonance.

一方、CガスおよびCガスを、ガス導入管805a,805bを介してガス供給部805より成膜室801b内に導入し、これらを上述した高密度プラズマにより活性化して活性種を形成する。そして、この活性種により、成膜室801b内に配置された載置台806上のウエハ807表面に、密着性よく高硬度のフッ素添加カーボン膜808を形成する。なお、ウエハ807は、載置台806の静電チャック806aにより固定されている。また、成膜室801b内は、排気管810を介してこれに連通している図示していない真空排気手段により、所定の真空度に排気されている。 On the other hand, C 4 F 8 gas and C 2 H 4 gas are introduced into the film formation chamber 801b from the gas supply unit 805 through the gas introduction pipes 805a and 805b, and these are activated by the above-described high-density plasma and activated. Form a seed. Then, the activated species forms a high-hardness fluorine-added carbon film 808 with good adhesion on the surface of the wafer 807 on the mounting table 806 disposed in the film formation chamber 801b. Note that the wafer 807 is fixed by an electrostatic chuck 806 a of the mounting table 806. Further, the inside of the film forming chamber 801b is evacuated to a predetermined degree of vacuum by a vacuum evacuation unit (not shown) communicating with this through an exhaust pipe 810.

以上のことによりフッ素添加カーボン膜を形成することができる。しかし、このフッ素添加カーボン膜を層間絶縁膜として用いるためには、例えば、上下の配線層間を接続するための接続部を配置するホール部を形成するなどの微細加工が必要となる。   As described above, a fluorine-added carbon film can be formed. However, in order to use this fluorine-added carbon film as an interlayer insulating film, for example, fine processing such as forming a hole portion in which a connection portion for connecting upper and lower wiring layers is required is required.

ここで、このフッ素添加カーボン膜の微細加工について説明する。まず、図9(a)に示すように、基体となる下層配線層901上に、上述したようにフッ素添加カーボン膜902を形成する。そして、このフッ素添加カーボン膜902上にSiOからなる無機膜903を形成する。次に、図9(b)に示すように、公知のフォトリソグラフィ技術により、所定の箇所に開口部904aを備えたレジストパターン904を、無機膜903上に形成する。 Here, the microfabrication of the fluorine-added carbon film will be described. First, as shown in FIG. 9A, the fluorine-added carbon film 902 is formed on the lower wiring layer 901 serving as a base as described above. Then, an inorganic film 903 made of SiO 2 is formed on the fluorine-added carbon film 902. Next, as shown in FIG. 9B, a resist pattern 904 having an opening 904a at a predetermined location is formed on the inorganic film 903 by a known photolithography technique.

次に、レジストパターン904をマスクとして無機膜903を選択的にエッチングする。このことにより、図9(c)に示すように、開口部904aに対応したところに開口部905aを備えたハードマスク905を形成する。ここで、このエッチングでは、例えばCFのプラズマによるドライエッチングを用いるようにすればよい。 Next, the inorganic film 903 is selectively etched using the resist pattern 904 as a mask. As a result, as shown in FIG. 9C, a hard mask 905 having an opening 905a at a position corresponding to the opening 904a is formed. Here, in this etching, for example, dry etching using plasma of CF 4 may be used.

次に、ハードマスク905をマスクとしてフッ素添加カーボン膜902を選択的にエッチングする。このことにより、図9(d)に示すように、フッ素添加カーボン膜902にホール部906を形成する。ここで、このエッチングでは、例えば、酸素ガスのプラズマによるドライエッチングを用いるようにすればよい。酸素ガスを用いるようにすれば、フッ素添加カーボン膜902とハードマスク905との間に大きなエッチング選択比(両者のエッチレート同士の比)をとることができる。また、酸素ガスのプラズマを用いれば、レジストパターン904も同時にエッチング除去できる。   Next, the fluorine-added carbon film 902 is selectively etched using the hard mask 905 as a mask. As a result, a hole 906 is formed in the fluorine-added carbon film 902 as shown in FIG. Here, in this etching, for example, dry etching using oxygen gas plasma may be used. If oxygen gas is used, a large etching selection ratio (ratio between both etching rates) can be obtained between the fluorine-added carbon film 902 and the hard mask 905. If oxygen gas plasma is used, the resist pattern 904 can also be removed by etching at the same time.

ここで、ハードマスクを用いてフッ素添加カーボン膜を微細加工することに関して説明する。   Here, the microfabrication of the fluorine-added carbon film using a hard mask will be described.

微細加工においては、一般にフォトリソグラフィ技術で形成したレジストパターンをマスクとして選択的にエッチングするようにしている。このとき、レジストパターンは、その下層の加工対象の層に対するマスクとして、エッチングに耐性を持っている必要がある。加工対象の層が厚い場合は、レジストパターンのエッチング耐性が特に必要となる。このレジストパターンは、例えば感光性を有するフォトレジストを露光現像することで形成され、有機材料からなる。   In microfabrication, a resist pattern formed by a photolithography technique is generally selectively etched using a mask. At this time, the resist pattern needs to be resistant to etching as a mask for the layer to be processed under the resist pattern. When the layer to be processed is thick, resist pattern etching resistance is particularly necessary. This resist pattern is formed, for example, by exposing and developing a photosensitive photoresist and made of an organic material.

ところが、上述したフッ素添加カーボン膜などの有機膜を微細加工する場合、酸素のプラズマによるドライエッチングを用いることになる。この場合、マスクとして有機膜であるレジストパターンを用いると、それもエッチングされてしまうので選択的なエッチングができない。   However, when an organic film such as the above-described fluorine-added carbon film is finely processed, dry etching using oxygen plasma is used. In this case, if a resist pattern, which is an organic film, is used as a mask, it is also etched, so that selective etching cannot be performed.

これに対し、酸素ガスのプラズマでフッ素添加カーボン膜をエッチングするときに、SiOなどの無機材料からなるマスタパターンを用いれば、これは酸素のプラズマではほとんどエッチングされないので、選択的なエッチングが可能となる。このため、前述したように、フッ素添加カーボン膜の微細加工では、SiOなどからなるハードマスクを用いるようにしている。 In contrast, when a fluorine-added carbon film is etched with oxygen gas plasma, if a master pattern made of an inorganic material such as SiO 2 is used, this is hardly etched with oxygen plasma, so that selective etching is possible. It becomes. For this reason, as described above, a hard mask made of SiO 2 or the like is used in the fine processing of the fluorine-added carbon film.

ところで、このハードマスクを形成するためには、SiOなどの無機膜を加工することになるが、この加工は、CFやCのプラズマによるドライエッチングを用いればよい。この場合、有機膜であるレジストパターンはほとんどエッチングされないので、前述したように、レジストパターンをマスクとした選択的なエッチングでハードマスクを形成することが可能となる。 By the way, in order to form this hard mask, an inorganic film such as SiO 2 is processed. For this processing, dry etching using plasma of CF 4 or C 4 F 8 may be used. In this case, since the resist pattern which is an organic film is hardly etched, the hard mask can be formed by selective etching using the resist pattern as a mask as described above.

ところが、有機膜を加工するために一般的に用いられるSiOや窒素化シリコン(SiN)からなるハードマスクをフッ素添加カーボン膜の微細加工に用いると、次に示すような問題があり、フッ素添加カーボン膜を層間膜に用いた半導体装置の信頼性を低下させていた。 However, when a hard mask made of SiO 2 or silicon nitride (SiN), which is generally used for processing an organic film, is used for fine processing of a fluorinated carbon film, there are the following problems. The reliability of a semiconductor device using a carbon film as an interlayer film has been reduced.

まず、SiOやSiNはフッ素を含んだ有機膜であるフッ素添加カーボン膜との密着性が低いため、ハードマスクがはがれやすいという問題がある。前述したように、ハードマスクとして絶縁材料を用いているので、ハードマスクも層間絶縁膜の一部として用いるようにしている。しかしながら、層間絶縁膜としてのフッ素添加カーボン膜を微細加工した後、配線電極用の金属膜をそれらの上に形成したときのように、後のプロセスにおいて応力が加わると、ハードマスクがフッ素添加カーボン膜よりはがれてしまうことがある。また、その配線電極用の金属膜を形成した後、化学的機械研磨法により平坦化しようとすれば、大きな応力が加わるので、ほぼ確実にハードマスクがフッ素添加カーボン膜よりはがれてしまう。 First, since SiO 2 and SiN have low adhesion to a fluorine-added carbon film that is an organic film containing fluorine, there is a problem that the hard mask is easily peeled off. As described above, since the insulating material is used as the hard mask, the hard mask is also used as a part of the interlayer insulating film. However, after microfabrication of a fluorine-added carbon film as an interlayer insulating film, when a stress is applied in a later process, such as when a metal film for a wiring electrode is formed on them, the hard mask becomes a fluorine-added carbon. It may come off from the film. Further, if a metal film for the wiring electrode is formed and then flattened by a chemical mechanical polishing method, a large stress is applied, so that the hard mask is almost surely peeled off from the fluorine-added carbon film.

次に、フッ素添加カーボン膜の微細加工にSiOやSiNからなるハードマスクを用いると、以下のようにエッチングの選択比が低下するという問題がある。フッ素添加カーボン膜の微細加工は、前述したように酸素ガスのプラズマによるドライエッチングを用いるようにしている。この点だけから考えると、SiOやSiNからハードマスクを構成すれば、大きな選択比がとれるはずである。 Next, when a hard mask made of SiO 2 or SiN is used for fine processing of the fluorine-added carbon film, there is a problem that the etching selectivity is reduced as follows. Fine processing of the fluorine-added carbon film uses dry etching using oxygen gas plasma as described above. Considering only this point, if a hard mask is made of SiO 2 or SiN, a large selection ratio should be obtained.

しかしながら、酸素ガスのプラズマでフッ素添加カーボン膜をエッチングしているときは、雰囲気にはフッ素添加カーボン膜が分解したことによりF(フッ素)やC(炭素)が発生し、プラズマによってこれらの活性種が発生することになる。この結果、活性種によってSiOやSiNがエッチングされるので、従来のハードマスクでは、フッ素添加カーボン膜と同時にエッチングすると、選択比が低下して加工精度が劣化するという問題がある。 However, when the fluorine-added carbon film is etched by plasma of oxygen gas, F (fluorine) and C (carbon) are generated in the atmosphere due to the decomposition of the fluorine-added carbon film, and these active species are generated by the plasma. Will occur. As a result, since SiO 2 and SiN are etched by the active species, the conventional hard mask has a problem that when etching is performed simultaneously with the fluorine-added carbon film, the selectivity is lowered and the processing accuracy is deteriorated.

それと共に、半導体装置の高速化を図る観点からは、フッ素添加カーボン膜を用いた絶縁層の場合と同様、ハードマスクとして用いる絶縁層についても、できるだけ比誘電率の低い材料を用いることが求められている。   At the same time, from the viewpoint of speeding up the semiconductor device, as in the case of the insulating layer using the fluorine-added carbon film, the insulating layer used as the hard mask is required to use a material having a low relative dielectric constant as much as possible. ing.

この発明は、以上のような問題点を解消するためになされたものである。すなわち本発明は、フッ素添加カーボンからなる絶縁層を備えた半導体装置において、高速化への対応を考慮しつつ、信頼性の向上を図ることができるような半導体装置の製造方法を提供することを目的とする。   The present invention has been made to solve the above problems. That is, the present invention provides a method for manufacturing a semiconductor device that can improve reliability in a semiconductor device provided with an insulating layer made of fluorine-added carbon while taking measures for high speed into consideration. Objective.

この目的を達成するために、本発明は、
素子が形成された半導体基板上に配線層を形成する工程と、
その配線層上に、フッ素添加カーボンからなる第1の絶縁層を形成する工程と、
その第1の絶縁層上に、SiH、炭素を含むガスおよび窒素を含むガスを原料ガスとした化学的気相成長法により、シリコンと炭素と窒素とからなる第2の絶縁層を形成する工程と、
前記第1の絶縁層の表面が部分的に露出するまで前記第2の絶縁層を選択的にエッチングする工程と、
その選択的にエッチングした第2の絶縁層をマスクとして、前記第1の絶縁層を選択的にエッチングする工程と、
前記第1の絶縁層を選択的にエッチングした後、前記第2の絶縁層上に新たな配線層を形成する工程と
を備えたことを特徴とする半導体装置の製造方法を提供するものである。
In order to achieve this object, the present invention provides:
Forming a wiring layer on the semiconductor substrate on which the element is formed;
Forming a first insulating layer made of fluorine-added carbon on the wiring layer;
A second insulating layer made of silicon, carbon, and nitrogen is formed on the first insulating layer by chemical vapor deposition using SiH 4 , a gas containing carbon, and a gas containing nitrogen as a source gas. Process,
Selectively etching the second insulating layer until the surface of the first insulating layer is partially exposed;
Selectively etching the first insulating layer using the selectively etched second insulating layer as a mask;
And a step of forming a new wiring layer on the second insulating layer after selectively etching the first insulating layer. A method of manufacturing a semiconductor device is provided. .

このように構成したので、配線層間において、フッ素添加カーボンからなる第1の絶縁層が、シリコンと炭素と窒素とからなる第2の絶縁層と接触した構造の半導体装置が得られる。このことにより、従来よりも第1の絶縁層と第2の絶縁層との間の密着性が向上し、はがれが抑制されるようになる。また、シリコンと炭素と窒素とからなる第2の絶縁層は、従来のものに比べてエッチングの選択比を大きく取ることができると共に、シリコンと窒素またはシリコンと炭素からなるものに比べれば誘電率を小さくできる。このため、半導体装置において、高速化への対応を考慮しつつ、信頼性の向上を図ることが可能となる。   With this configuration, a semiconductor device having a structure in which the first insulating layer made of fluorine-added carbon is in contact with the second insulating layer made of silicon, carbon, and nitrogen is obtained between the wiring layers. As a result, the adhesion between the first insulating layer and the second insulating layer is improved as compared with the conventional case, and peeling is suppressed. In addition, the second insulating layer made of silicon, carbon, and nitrogen can have a higher etching selectivity than the conventional one, and has a dielectric constant that is higher than that made of silicon and nitrogen or silicon and carbon. Can be reduced. For this reason, in the semiconductor device, it is possible to improve the reliability while considering the correspondence to the high speed.

この製造方法において、第2の絶縁層の比誘電率をより低くする観点から、第2の絶縁層にホウ素を添加する工程をさらに備えることが好ましい。   In this manufacturing method, it is preferable to further include a step of adding boron to the second insulating layer from the viewpoint of lowering the relative dielectric constant of the second insulating layer.

また、第2の絶縁層を選択的にエッチングする工程は、炭素とフッ素とを含む化合物のガスのプラズマや、炭素と水素とを含む化合物のガスのプラズマを用いて行うようにすればよい。   The step of selectively etching the second insulating layer may be performed using plasma of a compound gas containing carbon and fluorine or plasma of a compound gas containing carbon and hydrogen.

また、第1の絶縁層を選択的にエッチングする工程は、酸素を含むガスのプラズマを用いて行うようにすることで、第2の絶縁層や配線層がほとんどエッチングされなくなる。   In addition, the step of selectively etching the first insulating layer is performed by using plasma of a gas containing oxygen, whereby the second insulating layer and the wiring layer are hardly etched.

また、第1の絶縁層を選択的にエッチングする工程は、水素を含むガスのプラズマを用いて行うようにすることで、反応性イオンによるエッチングが支配的となり、より異方性の高いエッチングを行うことが可能となる。   In addition, the step of selectively etching the first insulating layer is performed by using plasma of a gas containing hydrogen, so that etching by reactive ions becomes dominant, and etching with higher anisotropy is performed. Can be done.

以下、本発明の実施の形態を図を参照して説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

[第1の実施形態]
はじめに、本発明の第1の実施形態としての半導体装置の製造方法に関して説明する。この実施の形態では、半導体装置を図1aに示すように構成した。この構成に関して説明すると、まず、シリコン(Si)からなる半導体基板上に形成されたMOSトランジスタなどの素子(図示せず)を覆うように、絶縁膜101,例えばSiO膜が形成されている。この素子に電気的に接続されている、例えばWからなる配線層(図示せず)と、これに接続された、例えば銅(Cu)からなる配線層102とが形成されている。
[First Embodiment]
First, a method for manufacturing a semiconductor device as the first embodiment of the present invention will be described. In this embodiment, the semiconductor device is configured as shown in FIG. 1a. This configuration will be described. First, an insulating film 101, for example, a SiO 2 film is formed so as to cover an element (not shown) such as a MOS transistor formed on a semiconductor substrate made of silicon (Si). A wiring layer (not shown) made of, for example, W, which is electrically connected to this element, and a wiring layer 102 made of, for example, copper (Cu), connected thereto, are formed.

また、SiO膜101上には、Cu層102を覆うように、第1の絶縁層としてのフッ素添加カーボン膜103が形成されている。このフッ素添加カーボン膜103には、溝部104aおよびホール部104bが形成されている。これら溝部104a内およびホール部104b内には、例えばCuからなる配線層104が形成され、これが前述のCu層102と電気的に接続された構成となっている。ここで、フッ素添加カーボン膜103およびCu層102と、Cu層104との接触面には、密着層104cが形成されている。 Further, a fluorine-added carbon film 103 as a first insulating layer is formed on the SiO 2 film 101 so as to cover the Cu layer 102. In the fluorine-added carbon film 103, a groove 104a and a hole 104b are formed. A wiring layer 104 made of Cu, for example, is formed in the groove 104a and the hole 104b, and this is electrically connected to the Cu layer 102 described above. Here, an adhesion layer 104 c is formed on a contact surface between the fluorine-added carbon film 103 and the Cu layer 102 and the Cu layer 104.

この密着層104cは、Cu層104とフッ素添加カーボン膜103との密着性向上のために、図1bに示すように、例えば、TiN層104c’とTi層104c”とから構成されている。なお、密着層104cは、このようなTiN/Tiの積層構造のものには限られず、TaN/Taや、WN/Wなど、高融点金属窒化物/高融点金属の積層構造のものを用いることが可能である。   The adhesion layer 104c includes, for example, a TiN layer 104c ′ and a Ti layer 104c ″ as shown in FIG. 1b in order to improve adhesion between the Cu layer 104 and the fluorine-added carbon film 103. The adhesion layer 104c is not limited to such a laminated structure of TiN / Ti, and a laminated structure of refractory metal nitride / refractory metal such as TaN / Ta or WN / W may be used. Is possible.

そして、この第1の実施形態では、フッ素添加カーボン膜103上に第2の絶縁層としてのSiCNからなるハードマスク105が、膜厚100nm程度に形成されている。このSiCNは、必ずしも化学組成・化学結合的にその構成となっていることを意味するものではなく、少なくとも、SiとCとNとを成分として構成されているということである。   In the first embodiment, a hard mask 105 made of SiCN as a second insulating layer is formed on the fluorine-added carbon film 103 to a thickness of about 100 nm. This SiCN does not necessarily mean that it has a chemical composition / chemical bond, but at least Si, C, and N are used as components.

また、このハードマスク105上には、前述と同様な構成で、第1の絶縁層としてのフッ素添加カーボン膜106、溝部107aおよびホール部107b内に埋め込まれたCu層107,密着層107c、およびSiCNからなるハードマスク108が形成されている。すなわち、フッ素添加カーボン膜106上にも、SiCNからなるハードマスク108が、膜厚100nm程度に形成されているように構成した。なお、Cu層104とCu層107は同一の材料から構成しているので、その接触界面にバリア膜などを形成する必要はない。   Further, on the hard mask 105, a fluorine-added carbon film 106 as a first insulating layer, a Cu layer 107 embedded in the groove 107a and the hole 107b, an adhesion layer 107c, A hard mask 108 made of SiCN is formed. That is, the hard mask 108 made of SiCN is also formed on the fluorine-added carbon film 106 to a thickness of about 100 nm. Since the Cu layer 104 and the Cu layer 107 are made of the same material, it is not necessary to form a barrier film or the like at the contact interface.

以上示したように、この第1の実施形態では、フッ素添加カーボン膜からなる層間絶縁膜103,106上に、SiCNからなるハードマスク105,108を設けるように構成した。その結果、例えば、フッ素添加カーボン膜103とフッ素添加カーボン膜106との間には、SiCNからなるハードマスク105があるので、従来のようにハードマスクにSiOなどを用いる場合に比較して、それら各層間の密着力を向上させることができる。 As described above, in the first embodiment, the hard masks 105 and 108 made of SiCN are provided on the interlayer insulating films 103 and 106 made of the fluorine-added carbon film. As a result, for example, since there is a hard mask 105 made of SiCN between the fluorine-added carbon film 103 and the fluorine-added carbon film 106, compared to the conventional case where SiO 2 or the like is used for the hard mask, The adhesion between these layers can be improved.

なお、ハードマスク108およびCu層107上に、同様の積層構造を設けることで半導体装置としてもよい。すなわち、同様の積層構造を任意の段数だけ繰り返し積層した構成の半導体装置としてもよい。   Note that a semiconductor device may be provided by providing a similar stacked structure over the hard mask 108 and the Cu layer 107. That is, a semiconductor device having a structure in which a similar stacked structure is repeatedly stacked by an arbitrary number of stages may be used.

次に、上述した配線構造の製造方法に関して説明する。なお、以下では、図1に示したCu層104およびハードマスク105までは形成されている状態から説明する。   Next, a method for manufacturing the above-described wiring structure will be described. In the following description, the Cu layer 104 and the hard mask 105 shown in FIG. 1 are already formed.

まず、図2aに示すように、Cu層104およびSiCNからなるハードマスク105の上に、フッ素添加カーボン膜206を膜厚700nm程度に形成する。このフッ素添加カーボン膜206の形成は、前述したように、電子サイクロトロン共鳴(ECR)を用いてCガスおよびCガスを原料ガスとしたプラズマ成膜処理により行う。 First, as shown in FIG. 2a, a fluorine-added carbon film 206 is formed to a thickness of about 700 nm on the hard mask 105 made of the Cu layer 104 and SiCN. As described above, the fluorine-added carbon film 206 is formed by a plasma film forming process using C 4 F 8 gas and C 2 H 4 gas as source gases using electron cyclotron resonance (ECR).

次に、図2bに示すように、まず、フッ素添加カーボン膜206上にSiCNからなる絶縁膜208を形成する。これは、例えばSiH,C,Nを原料ガスとした化学的気相成長法(CVD)により行えばよい。また、この絶縁膜208上に、所定箇所にホール部211aの設けられたレジストパターン211を形成する。このレジストパターン211の形成は、公知のフォトリソグラフィ技術を用いればよい。 Next, as shown in FIG. 2B, first, an insulating film 208 made of SiCN is formed on the fluorine-added carbon film 206. This may be performed, for example, by chemical vapor deposition (CVD) using SiH 4 , C 2 H 4 , and N 2 as source gases. Further, a resist pattern 211 having a hole portion 211a is formed on the insulating film 208 at a predetermined location. The resist pattern 211 may be formed using a known photolithography technique.

次に、そのレジストパターン211をマスクとして絶縁膜208をエッチング加工して、図2cに示すような、ホール部208aの設けられたハードマスク108を形成する。このエッチングには、例えば、Cのプラズマによるドライエッチングを用いればよい。 Next, the insulating film 208 is etched using the resist pattern 211 as a mask to form a hard mask 108 provided with a hole 208a as shown in FIG. 2c. For this etching, for example, dry etching using plasma of C 4 F 8 may be used.

次に、今度は、ホール部208aの設けられたハードマスク108をマスクとして、その下層のフッ素添加カーボン膜206をエッチング加工する。このエッチングには、酸素ガスのプラズマによる反応性イオンエッチングを用いればよい。前述したように、ハードマスク108はSiCNから構成されているので、酸素ガスのプラズマではほとんどエッチングされない。一方、フッ素添加カーボン膜206は酸素ガスのプラズマによりエッチング(アッシング)される。その結果、このエッチング処理により、図2dに示すように、フッ素添加カーボン膜206にホール部206aを形成することができる。そして、このとき酸素ガスのプラズマを用いているので、有機膜であるレジストパターン211も同時に除去される。   Next, using the hard mask 108 provided with the hole 208a as a mask, the underlying fluorine-added carbon film 206 is etched. For this etching, reactive ion etching using oxygen gas plasma may be used. As described above, since the hard mask 108 is made of SiCN, it is hardly etched by plasma of oxygen gas. On the other hand, the fluorine-added carbon film 206 is etched (ashed) by plasma of oxygen gas. As a result, as shown in FIG. 2d, hole portions 206a can be formed in the fluorine-added carbon film 206 by this etching process. At this time, since the plasma of oxygen gas is used, the resist pattern 211 which is an organic film is also removed at the same time.

ここで、フッ素添加カーボン膜とSiCNからなるハードマスクについての、酸素ガスのプラズマによるドライエッチングにおける選択比について説明する。以下に、SiOやSiNなどの各ハードマスク材料を、酸素ガスのプラズマを用いて、フッ素添加カーボン膜とともにドライエッチングした場合のエッチングレート(nm/分)を示す。 Here, the selection ratio in dry etching using oxygen gas plasma for a hard mask made of a fluorine-added carbon film and SiCN will be described. The etching rate (nm / min) when each hard mask material such as SiO 2 or SiN is dry-etched together with the fluorine-added carbon film using oxygen gas plasma is shown below.

フッ素添加カーボン膜…1538
SiN… 37
SiC… 45
SiO… 42
SiCN… 15
以上に示したように、フッ素添加カーボン膜と同時に処理した場合、他のハードマスク材料に比べてSiCNは、酸素ガスのプラズマによるエッチングレートが非常に小さい。したがって、この第1の実施形態のように、ハードマスクにSiCNを用いれば、フッ素添加カーボン膜のエッチングにおいて大きな選択比を得ることができるので、より加工精度を向上させることが可能となる。
Fluorine-added carbon film ... 1538
SiN ... 37
SiC ... 45
SiO 2 ... 42
SiCN ... 15
As described above, when processing is performed at the same time as the fluorine-added carbon film, SiCN has a much lower etching rate by oxygen gas plasma than other hard mask materials. Therefore, if SiCN is used for the hard mask as in the first embodiment, a large selectivity can be obtained in the etching of the fluorine-added carbon film, so that the processing accuracy can be further improved.

次に、図2eに示すように、ハードマスク108上に溝部212aを備えたレジストパターン212を形成する。このレジストパターン212の形成は、公知のフォトリソグラフィ技術を用いればよい。ここで、溝部212aは、その一部がホール部206a上に重なるように形成する。   Next, as shown in FIG. 2E, a resist pattern 212 having a groove 212a is formed on the hard mask 108. Next, as shown in FIG. The resist pattern 212 may be formed using a known photolithography technique. Here, the groove 212a is formed so that a part thereof overlaps with the hole 206a.

そして、そのレジストパターン212をマスクとしてハードマスク208をエッチング加工し、ハードマスク108に溝部108aが形成された状態とする。このエッチングは、例えば、Cのプラズマによるドライエッチングを用いればよい。このCのプラズマによるドライエッチングでは、フッ素添加カーボン膜206もほとんどエッチングされないので、ハードマスク108の選択的なエッチングが可能となる。 Then, the hard mask 208 is etched using the resist pattern 212 as a mask, so that the groove 108 a is formed in the hard mask 108. For this etching, for example, dry etching using C 4 F 8 plasma may be used. In this dry etching using C 4 F 8 plasma, the fluorine-added carbon film 206 is hardly etched, so that the hard mask 108 can be selectively etched.

次に、溝部108aが形成されたハードマスク108をマスクとしてフッ素添加カーボン膜206をエッチング加工し、図2fに示すように、深さ400nm程度に溝部107aが形成されたフッ素添加カーボン膜106を形成する。ここでも、酸素ガスのプラズマによる反応性イオンエッチングを用いればよい。そして、酸素ガスのプラズマを用いているので、ハードマスク108上のレジストパターン212も同時に除去される。そして、フッ素添加カーボン膜206に形成されていたホール部206aによるスルーホール107bが、フッ素添加カーボン膜106の溝部107a形成領域に配置された状態となる。   Next, the fluorine-added carbon film 206 is etched using the hard mask 108 in which the groove 108a is formed as a mask to form a fluorine-added carbon film 106 in which the groove 107a is formed to a depth of about 400 nm as shown in FIG. 2f. To do. Again, reactive ion etching using oxygen gas plasma may be used. Since the plasma of oxygen gas is used, the resist pattern 212 on the hard mask 108 is also removed at the same time. Then, the through hole 107 b formed by the hole portion 206 a formed in the fluorine-added carbon film 206 is placed in the groove 107 a formation region of the fluorine-added carbon film 106.

次に、図2gに示すように、まず、ハードマスク108上やスルーホール107b、溝部107aおよび108aの表面を覆うように、TiN層とTi層からなる密着層である薄い金属膜207aを形成する。そして、その金属膜207aを介して、スルーホール107bや溝部107aおよび108aを充填するように、ハードマスク108上に銅からなる金属膜207を形成する。この金属膜207は、例えば、無電解メッキ法やスパッタ法など、よく知られた金属膜の形成方法により形成すればよい。   Next, as shown in FIG. 2g, first, a thin metal film 207a, which is an adhesion layer composed of a TiN layer and a Ti layer, is formed so as to cover the hard mask 108, the through holes 107b, and the grooves 107a and 108a. . Then, a metal film 207 made of copper is formed on the hard mask 108 so as to fill the through holes 107b and the groove portions 107a and 108a via the metal film 207a. The metal film 207 may be formed by a well-known metal film forming method such as electroless plating or sputtering.

そして、化学的機械的研磨(CMP)により金属膜207および207aを表面より研磨する。このことにより、図2hに示すように、ハードマスク108の表面を露出させれば、Cu層104上にフッ素添加カーボン膜106を介してCu層107が配置され、そのフッ素添加カーボン膜106とCu層107とが、TiN/Ti構成の密着層107cを介して接触した状態が得られる。なお、上記では省略したフッ素添加カーボン膜103からCu層104までの形成も、上述したのとほぼ同様にして行えることはいうまでもない。   Then, the metal films 207 and 207a are polished from the surface by chemical mechanical polishing (CMP). As a result, as shown in FIG. 2h, when the surface of the hard mask 108 is exposed, a Cu layer 107 is disposed on the Cu layer 104 via the fluorine-added carbon film 106, and the fluorine-added carbon film 106 and Cu A state in which the layer 107 is in contact with the adhesive layer 107c having a TiN / Ti structure is obtained. Needless to say, the formation from the fluorine-added carbon film 103 to the Cu layer 104 omitted in the above can be performed in substantially the same manner as described above.

ここで、上述のようにハードマスク材料としてSiCNを用いた場合と、従来のようにSiOを用いた場合とで、形成されたハードマスクと、それと積層されたフッ素添加カーボン膜との密着性を比較した。この場合、「フッ素添加カーボン膜/ハードマスク/フッ素添加カーボン膜」の3層構造における密着力をそれぞれ比較した。なお、参考として、ハードマスク材料としてSiNを用いた場合も調べた。 Here, in the case where SiCN is used as the hard mask material as described above and in the case where SiO 2 is used as in the conventional case, the adhesion between the formed hard mask and the fluorine-added carbon film laminated therewith Compared. In this case, the adhesion strength in the three-layer structure of “fluorine-added carbon film / hard mask / fluorine-added carbon film” was compared. For reference, the case where SiN was used as a hard mask material was also examined.

その密着力は、次に示すようにして測定する。まず、図3に示すように、基板601上にフッ素添加カーボン膜602,ハードマスク603,フッ素添加カーボン膜604を順次積層形成したサンプルを作製し、そのフッ素添加カーボン膜604上に所定の接着剤で試験棒605を固定する。そして、基板601を固定した状態で、その基板601から離れる方向の荷重を試験棒605に加える。そして、いずれかの膜が剥離したときの荷重(Kpsi)を密着力とする。   The adhesion is measured as follows. First, as shown in FIG. 3, a sample in which a fluorine-added carbon film 602, a hard mask 603, and a fluorine-added carbon film 604 are sequentially laminated on a substrate 601 is prepared, and a predetermined adhesive is formed on the fluorine-added carbon film 604. The test bar 605 is fixed by the above. Then, with the substrate 601 fixed, a load in a direction away from the substrate 601 is applied to the test bar 605. And the load (Kpsi) when either film | membrane peels is made into adhesive force.

以上に説明した密着力の測定結果を図4に示す。この図4から明らかなように、ハードマスクの材料としてSiCNを用いることで、フッ素添加カーボン膜との密着力が格段に向上する。このように、5Kpsi以上の密着力がフッ素添加カーボン膜との間に得られれば、ハードマスクを層間膜の一部としてそのまま残すようにしても、電極形成時の化学的機械研磨でハードマスク部分がはがれることが抑制されるようになる。   The measurement result of the adhesion force described above is shown in FIG. As is apparent from FIG. 4, the use of SiCN as the hard mask material significantly improves the adhesion with the fluorine-added carbon film. As described above, if an adhesion force of 5 Kpsi or more is obtained between the fluorine-added carbon film, the hard mask portion is formed by chemical mechanical polishing at the time of electrode formation even if the hard mask is left as a part of the interlayer film. Peeling is suppressed.

また、SiCNからなるハードマスクに対する下地膜による密着力の違いを、A,B,Cの3種類の下地膜について調べた結果が、図5のグラフに示されている。この場合、下地膜Aは上記のフッ素添加カーボン膜、下地膜Bは「SiCO(H)膜((H)はC基中のH)」、下地膜CはSOD(Spin On Dilectric)膜である。また、密着力の測定方法は上記と同様である。なお、上記SiCO(H)膜は、例えばSiH(CHで表されるメチルシランまたはアルコキシシランを原料とし、それ単独、若しくは酸素系ガス(O、NO等)を使用し、プラズマCVD法にて形成される。また、この場合、上記SOD膜としては、例えば有機ポリマであるSiLKが用いられる。 Further, the graph of FIG. 5 shows the results of examining the difference in adhesion between the base film and the hard mask made of SiCN for three types of base films A, B, and C. In this case, the underlying film A is the above fluorine-containing carbon film, the base film B is "SiCO (H) film ((H) is H in C x H y group)", the base film C is SOD (Spin On Dilectric) It is a membrane. Moreover, the measuring method of contact | adhesion power is the same as the above. The SiCO (H) film uses, for example, methylsilane or alkoxysilane represented by SiH x (CH 3 ) y as a raw material alone or an oxygen-based gas (O 2 , N 2 O, etc.), It is formed by a plasma CVD method. In this case, for example, SiLK, which is an organic polymer, is used as the SOD film.

ここで、上述したように、ハードマスクは配線層間の層間絶縁膜の一部として用いられる。したがって、ハードマスクの膜厚がフッ素添加カーボン膜の部分より薄いとしても、その誘電率はなるべく低い方がよい。そこで、図6のグラフに示すように、各種のハードマスク材料の比誘電率εを比較すると、本実施形態で用いるSiCNはε=5.5程度と、ε=4程度のSiOよりは高いものの、ε=8前後のSiNやSiCに比べればかなり低くなっている。 Here, as described above, the hard mask is used as a part of the interlayer insulating film between the wiring layers. Therefore, even if the thickness of the hard mask is thinner than that of the fluorine-added carbon film, the dielectric constant is preferably as low as possible. Therefore, as shown in the graph of FIG. 6, when comparing the relative dielectric constant ε of various hard mask materials, SiCN used in this embodiment is about ε = 5.5, which is higher than SiO 2 of about ε = 4. However, it is considerably lower than SiN or SiC around ε = 8.

[第2の実施形態]
次に、本発明の第2の実施の形態について説明する。この第2の実施形態では、上述したような理由で、ハードマスク材料の誘電率をさらに低下させる観点から、SiCNからなるハードマスクにホウ素(B)を添加するようにした。上述したようにSiCN自体の比誘電率はε=5.5程度であるが、このSiCNにホウ素を添加すると、その誘電率をε=5.1程度まで低下させることができる。なお、その他の構成は、上記第1の実施形態と全く同様である。
[Second Embodiment]
Next, a second embodiment of the present invention will be described. In the second embodiment, for the reasons described above, boron (B) is added to a hard mask made of SiCN from the viewpoint of further reducing the dielectric constant of the hard mask material. As described above, the relative dielectric constant of SiCN itself is about ε = 5.5, but when boron is added to this SiCN, the dielectric constant can be lowered to about ε = 5.1. Other configurations are the same as those in the first embodiment.

そのホウ素の添加は、例えば図2bに示したSiCNからなる絶縁膜208の形成時に、化学的気相成長法における原料ガスとして、SiH,C,Nに加えてBFも用いるようにすればよい。また、原料ガスの組み合わせとして、SiH,C,BFや、SiH,BF,Nを用いてもよい。また、BFの代わりにBを用いるようにしてもよい。このようにすることで、形成された絶縁膜は、ホウ素が添加されたSiCNやSiC,SiN(SiBCNやSiBC,SiBN)から構成されることになる。 The addition of boron uses, for example, BF 3 in addition to SiH 4 , C 2 H 4 , and N 2 as a source gas in the chemical vapor deposition method when forming the insulating film 208 made of SiCN shown in FIG. 2B, for example. What should I do? Further, SiH 4 , C 2 H 4 , BF 3 , SiH 4 , BF 3 , N 2 may be used as a combination of source gases. Further, B 2 F 6 may be used instead of BF 3 . By doing so, the formed insulating film is composed of SiCN, SiC, or SiN (SiBCN, SiBC, or SiBN) to which boron is added.

なお、そのホウ素の添加は、すでに形成された絶縁膜に対するイオン注入で行うようにしてもよい。   Note that the addition of boron may be performed by ion implantation with respect to the already formed insulating film.

ここで、SiN,SiCおよびSiCNにホウ素を添加した場合の比誘電率の変化を調べた結果が、図7のグラフに示されている。図7に示すように、SiN,SiCおよびSiCNの比誘電率εが、それぞれε=8.2,7.9および5.5程度であるのに対して、これらにホウ素を添加したSiBN,SiBCおよびSiBCNの比誘電率εは、それぞれε=5.9,5.5および5.1程度まで低下している。   Here, the result of investigating the change in the relative permittivity when boron is added to SiN, SiC and SiCN is shown in the graph of FIG. As shown in FIG. 7, the relative dielectric constants ε of SiN, SiC, and SiCN are about ε = 8.2, 7.9, and 5.5, respectively, while SiBN, SiBC with boron added thereto. And the relative dielectric constant ε of SiBCN is reduced to about ε = 5.9, 5.5, and 5.1, respectively.

[その他の実施形態]
なお、以上の実施形態では、SiCNからなるハードマスクを、「SiH+C+N」を原料ガスとした製法で形成しているが、他の原料ガスを用いた製法で形成するようにしてもよい。
[Other Embodiments]
In the above embodiment, the hard mask made of SiCN is formed by a manufacturing method using “SiH 4 + C 2 H 4 + N 2 ” as a source gas. However, the hard mask is formed by a manufacturing method using another source gas. It may be.

ここで、SiCNからなるハードマスクを異なる製法(原料ガス)で形成した場合の比誘電率の違いを、A,B,Cの3種類の製法(原料ガス)について調べた結果が、図8のグラフに示されている。この場合、製法Aは上記の製法と同様、「SiH+(炭素を含む原料ガス)+(窒素を含む原料ガス)」を原料ガスとして用いたものである。また、製法BおよびCは、製法AのSiHを、それぞれSiH(CH)およびアルコキシシラン(Silicon alkoxides)に置き換えた原料ガスを用いたものである。 Here, the results of investigating the difference in relative permittivity when the hard mask made of SiCN is formed by different manufacturing methods (raw material gases) in three manufacturing methods (raw material gases) of A, B, and C are shown in FIG. Shown in the graph. In this case, the manufacturing method A uses “SiH 4 + (carbon-containing source gas) + (nitrogen-containing source gas)” as the source gas, as in the above-described manufacturing method. Production methods B and C use a raw material gas in which SiH 4 in production method A is replaced with SiH x (CH 3 ) y and alkoxysilane (Silicon alkoxides), respectively.

なお、炭素を含む原料ガスとしては、上記のCの他に、CH,C,C,C等を用いることができる。また、窒素を含む原料ガスとしては、上記のNの他に、例えばNF,NO,NO,N,NO,NH等を用いることができる。 As the source gas containing carbon, CH 4 , C 2 H 6 , C 3 H 8 , C 2 H 2 or the like can be used in addition to the above C 2 H 4 . In addition to the above N 2 , for example, NF 3 , N 2 O, NO 2 , N 2 O 4 , NO, NH 8, etc. can be used as the source gas containing nitrogen.

そして、図8に示すように、上記の本実施形態で用いた製法Aでは比誘電率がε=5.5程度であったものが、製法Bおよび製法Cではε=4.2〜4.3程度まで低下している。すなわち、上記の製法Bまたは製法Cによれば、上記第2の実施形態のようにホウ素を添加する場合に比べても、さらに比誘電率を低下させることができる。   As shown in FIG. 8, the manufacturing method A used in the present embodiment has a relative dielectric constant of about ε = 5.5, but the manufacturing method B and manufacturing method C have ε = 4.2-4. It has fallen to about 3. That is, according to the manufacturing method B or the manufacturing method C described above, the relative dielectric constant can be further reduced as compared with the case where boron is added as in the second embodiment.

また、以上の実施形態では、フッ素添加カーボン膜のエッチング加工に、酸素ガスのプラズマを用いるようにしたが、水素ガスとアルゴンガスや窒素ガスとの混合ガスのプラズマを用いるようにしてもよい。この場合、例えば、混合ガスに対するアルゴンガスの流量比(Ar/(N+H+Ar))を、実質的に0.7〜0.8程度とすればよい。さらに水素ガスと窒素ガスの流量比(H/(N+H))を、実質的に0.2〜0.9にすればよい。また、プラズマを生成する雰囲気の真空度は、5〜15mTorr程度とすればよい。 In the above embodiment, oxygen gas plasma is used for etching the fluorine-added carbon film, but plasma of a mixed gas of hydrogen gas, argon gas, or nitrogen gas may be used. In this case, for example, the flow rate ratio of argon gas to mixed gas (Ar / (N 2 + H 2 + Ar)) may be substantially about 0.7 to 0.8. Further the flow rate ratio of the hydrogen gas and nitrogen gas (H 2 / (N 2 + H 2)), it may be substantially 0.2 to 0.9. The degree of vacuum of the atmosphere for generating plasma may be about 5 to 15 mTorr.

この場合でも、以下に示すように、酸素ガスを用いた場合と同様、フッ素添加カーボン膜と同時に処理した場合のエッチングレート(nm/分)は、SiCNの方が他のハードマスク材料に比較して非常に小さい。   Even in this case, as shown below, as in the case of using oxygen gas, the etching rate (nm / min) when processed simultaneously with the fluorine-added carbon film is higher in SiCN than in other hard mask materials. And very small.

フッ素添加カーボン膜…1826
SiN… 58
SiC… 88
SiO… 95
SiCN… 18
ここで、上述のようにエッチングに水素ガスを用いる場合の特徴に関して説明する。水素ガスとアルゴンガスや窒素ガスとの混合ガスのプラズマによる反応性イオンエッチングを用いて、フッ素添加カーボン膜のエッチング加工を行う場合、酸素ガスを用いた場合よりも異方性の高いエッチングが可能となる。
Fluorine-added carbon film ... 1826
SiN ... 58
SiC ... 88
SiO 2 ... 95
SiCN ... 18
Here, the characteristics when hydrogen gas is used for etching as described above will be described. When reactive ion etching using plasma of mixed gas of hydrogen gas, argon gas and nitrogen gas is used, etching with higher anisotropy is possible than when oxygen gas is used when etching a fluorine-added carbon film It becomes.

ドライエッチングにおいては、プラズマを生成することで、エッチング活性種として反応性イオンとラジカルが生成されるものと考えられている。その中でも、電界を利用して主に反応イオンをエッチング対象に飛行させる反応性イオンエッチングでは、垂直異方性の高い状態で加工するようにしている。   In dry etching, it is considered that reactive ions and radicals are generated as etching active species by generating plasma. Among these, in reactive ion etching in which reactive ions mainly fly to an object to be etched using an electric field, processing is performed in a state with high vertical anisotropy.

しかしながら、雰囲気中にはプラズマが生成しているため、電界により引き寄せられていないラジカルもいずれはエッチング対象に到達し、エッチング反応に関与することになる。そして、そのラジカルによるエッチング反応が起これば、例えばマスタパターンの下部分までエッチングされるサイドエッチングが発生する。そのような機構の中で、酸素ガスのプラズマによる反応性イオンエッチング方法では、酸素のラジカルは有機化合物に対して反応性が高いため、この酸素ラジカルがエッチング反応に必要以上に関与してしまい、フッ素添加カーボン膜のエッチング加工形状の制御性を低下させている。   However, since plasma is generated in the atmosphere, any radical that is not attracted by the electric field reaches the etching target and participates in the etching reaction. Then, if an etching reaction due to the radicals occurs, for example, side etching that etches to the lower part of the master pattern occurs. In such a mechanism, in the reactive ion etching method using oxygen gas plasma, oxygen radicals are highly reactive with organic compounds, so this oxygen radical is involved more than necessary in the etching reaction, The controllability of the etching shape of the fluorine-added carbon film is lowered.

一方、上述した水素ガスとアルゴンガスや窒素ガスとの混合ガスを用いた場合、フッ素添加カーボン膜に対するエッチング活性種は、主に水素の反応性イオンとラジカルとになる。ところが、水素のラジカルは、有機化合物に対してあまり反応性が高くない。このため、それらの混合ガスを用いた反応性イオンエッチングの場合、フッ素添加カーボン膜に対するエッチング活性種は、ほぼ水素の反応性イオンだけとなる。すなわち、この場合は、方向性を持たないラジカルによっては、ほとんどエッチングが進行しないことになる。   On the other hand, when the above-described mixed gas of hydrogen gas and argon gas or nitrogen gas is used, the etching active species for the fluorine-added carbon film are mainly hydrogen reactive ions and radicals. However, hydrogen radicals are not very reactive with organic compounds. For this reason, in the case of reactive ion etching using such a mixed gas, the etching active species for the fluorine-added carbon film is substantially only reactive ions of hydrogen. That is, in this case, etching hardly progresses depending on radicals having no directionality.

この結果、水素ガスとアルゴンガスや窒素ガスとの混合ガスを用いた場合は、より高い異方性が得られてサイドエッチングなどが抑制されるため、寸法制御性などの加工精度を向上させることができる。   As a result, when a mixed gas of hydrogen gas and argon gas or nitrogen gas is used, higher anisotropy is obtained and side etching is suppressed, so that processing accuracy such as dimensional controllability is improved. Can do.

ところで、上述した実施形態では、フッ素添加カーボン膜からなる層間膜に溝を形成してそこに配線層を埋め込むようにしたが、これに限るものではない。例えば、図9に示すように構成してもよい。この構成に関して説明すると、まず、フッ素添加カーボン膜からなる層間絶縁膜701上にSiCNからなるハードマスク702が形成され、その上に配線層703が形成されている。また、配線層703は、層間絶縁膜701およびハードマスク702に形成されたスルーホールを介して、図示していない下層の配線層に接続されている。   By the way, in the above-described embodiment, the groove is formed in the interlayer film made of the fluorine-added carbon film and the wiring layer is embedded therein. However, the present invention is not limited to this. For example, you may comprise as shown in FIG. This configuration will be described. First, a hard mask 702 made of SiCN is formed on an interlayer insulating film 701 made of a fluorine-added carbon film, and a wiring layer 703 is formed thereon. The wiring layer 703 is connected to a lower wiring layer (not shown) through through holes formed in the interlayer insulating film 701 and the hard mask 702.

また、ハードマスク702上には、配線層703を覆うように、フッ素添加カーボン膜からなる層間絶縁膜704が形成されている。その層間絶縁膜704上に、SiCNからなるハードマスク705が形成され、その上に配線層706が形成されている。また、配線層706は、層間絶縁膜704およびハードマスク705に形成されたスルーホールを介して、下層の配線層703に接続されている。   An interlayer insulating film 704 made of a fluorine-added carbon film is formed on the hard mask 702 so as to cover the wiring layer 703. A hard mask 705 made of SiCN is formed on the interlayer insulating film 704, and a wiring layer 706 is formed thereon. In addition, the wiring layer 706 is connected to the lower wiring layer 703 through through holes formed in the interlayer insulating film 704 and the hard mask 705.

この場合、ハードマスク702,705は、配線層間を接続するためのスルーホール形成に用いられる。したがって、この場合、例えばハードマスク705および層間絶縁膜704の微細加工は1回となる。ただし、例えば、配線層706は、ハードマスク705上に金属膜を形成し、この金属膜を公知のフォトリソグラフィ技術とエッチング技術により微細加工して形成することになる。   In this case, the hard masks 702 and 705 are used to form through holes for connecting the wiring layers. Therefore, in this case, for example, the hard mask 705 and the interlayer insulating film 704 are finely processed once. However, for example, the wiring layer 706 is formed by forming a metal film on the hard mask 705 and finely processing the metal film by a known photolithography technique and etching technique.

また、上述した実施形態では、配線層にCuを用いるようにしたが、これに限るものではなく、アルミニウム(Al)や他の導電性材料を用いるようにしても同様である。   In the above-described embodiment, Cu is used for the wiring layer. However, the present invention is not limited to this, and the same applies when aluminum (Al) or another conductive material is used.

本発明の実施形態としての製造方法による半導体装置の構造を示した部分縦断面図。The fragmentary longitudinal cross-section which showed the structure of the semiconductor device by the manufacturing method as embodiment of this invention. 図1aに示した構造の一部を拡大して示す図。The figure which expands and shows a part of structure shown in FIG. 本発明の実施形態としての半導体装置の製造方法を工程順に示した模式的縦断面図。The typical longitudinal section showing the manufacturing method of the semiconductor device as an embodiment of the present invention in order of a process. 本発明の実施形態としての半導体装置の製造方法を工程順に示した模式的縦断面図。The typical longitudinal section showing the manufacturing method of the semiconductor device as an embodiment of the present invention in order of a process. 本発明の実施形態としての半導体装置の製造方法を工程順に示した模式的縦断面図。The typical longitudinal section showing the manufacturing method of the semiconductor device as an embodiment of the present invention in order of a process. 本発明の実施形態としての半導体装置の製造方法を工程順に示した模式的縦断面図。The typical longitudinal section showing the manufacturing method of the semiconductor device as an embodiment of the present invention in order of a process. 本発明の実施形態としての半導体装置の製造方法を工程順に示した模式的縦断面図。The typical longitudinal section showing the manufacturing method of the semiconductor device as an embodiment of the present invention in order of a process. 本発明の実施形態としての半導体装置の製造方法を工程順に示した模式的縦断面図。The typical longitudinal section showing the manufacturing method of the semiconductor device as an embodiment of the present invention in order of a process. 本発明の実施形態としての半導体装置の製造方法を工程順に示した模式的縦断面図。The typical longitudinal section showing the manufacturing method of the semiconductor device as an embodiment of the present invention in order of a process. 本発明の実施形態としての半導体装置の製造方法を工程順に示した模式的縦断面図。The typical longitudinal section showing the manufacturing method of the semiconductor device as an embodiment of the present invention in order of a process. 密着力の測定方法を説明するための模式的縦断面図。The typical longitudinal cross-sectional view for demonstrating the measuring method of adhesive force. ハードマスク材料による密着力の違いを示すグラフ。The graph which shows the difference in the adhesive force by hard mask material. ハードマスクに対する下地膜による密着力の違いを示すグラフ。The graph which shows the difference in the adhesive force by the base film with respect to a hard mask. ハードマスク材料による比誘電率の違いを示すグラフ。The graph which shows the difference in the dielectric constant by hard mask material. ホウ素を含むハードマスク材料の比誘電率の違いを示すグラフ。The graph which shows the difference in the dielectric constant of the hard mask material containing boron. 製法によるハードマスクの比誘電率の違いを示すグラフ。The graph which shows the difference in the dielectric constant of the hard mask by a manufacturing method. 本発明の他の実施形態としての製造方法による半導体装置の構造を示した部分縦断面図。The fragmentary longitudinal cross-section which showed the structure of the semiconductor device by the manufacturing method as other embodiment of this invention. フッ素添加カーボン膜を形成するためのプラズマ成膜処理装置の構成を示す概略的な縦断面図。The schematic longitudinal cross-sectional view which shows the structure of the plasma film-forming processing apparatus for forming a fluorine addition carbon film. 従来の半導体装置の製造方法を(a)〜(d)の工程順に示した模式的縦断面図。Schematic longitudinal cross-sectional view which showed the manufacturing method of the conventional semiconductor device in order of the process of (a)-(d).

符号の説明Explanation of symbols

102,104,107 Cu層(配線層)
103,106 フッ素添加カーボン膜(第1の絶縁層)
105,108 ハードマスク(第2の絶縁層)
102, 104, 107 Cu layer (wiring layer)
103, 106 Fluorine-added carbon film (first insulating layer)
105, 108 hard mask (second insulating layer)

Claims (5)

素子が形成された半導体基板上に配線層を形成する工程と、
その配線層上に、フッ素添加カーボンからなる第1の絶縁層を形成する工程と、
その第1の絶縁層上に、SiH、炭素を含むガスおよび窒素を含むガスを原料ガスとした化学的気相成長法により、シリコンと炭素と窒素とからなる第2の絶縁層を形成する工程と、
前記第1の絶縁層の表面が部分的に露出するまで前記第2の絶縁層を選択的にエッチングする工程と、
その選択的にエッチングした第2の絶縁層をマスクとして、前記第1の絶縁層を選択的にエッチングする工程と、
前記第1の絶縁層を選択的にエッチングした後、前記第2の絶縁層上に新たな配線層を形成する工程と
を備えたことを特徴とする半導体装置の製造方法。
Forming a wiring layer on the semiconductor substrate on which the element is formed;
Forming a first insulating layer made of fluorine-added carbon on the wiring layer;
On the first insulating layer, a second insulating layer made of silicon, carbon, and nitrogen is formed by chemical vapor deposition using SiH 4 , a gas containing carbon, and a gas containing nitrogen as a source gas. Process,
Selectively etching the second insulating layer until the surface of the first insulating layer is partially exposed;
Selectively etching the first insulating layer using the selectively etched second insulating layer as a mask;
And a step of selectively etching the first insulating layer and then forming a new wiring layer on the second insulating layer.
前記第2の絶縁層にホウ素を添加する工程をさらに備えたことを特徴とする請求項1記載の半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, further comprising a step of adding boron to the second insulating layer. 前記第2の絶縁層を選択的にエッチングする工程は、炭素とフッ素とを含む化合物のガスのプラズマを用いて行われることを特徴とする請求項1または2記載の半導体装置の製造方法。   3. The method of manufacturing a semiconductor device according to claim 1, wherein the step of selectively etching the second insulating layer is performed using plasma of a gas containing a compound containing carbon and fluorine. 前記第1の絶縁層を選択的にエッチングする工程は、酸素を含むガスのプラズマを用いて行われることを特徴とする請求項1または2記載の半導体装置の製造方法。   3. The method of manufacturing a semiconductor device according to claim 1, wherein the step of selectively etching the first insulating layer is performed using plasma of a gas containing oxygen. 前記第1の絶縁層を選択的にエッチングする工程は、水素を含むガスのプラズマを用いて行われることを特徴とする請求項1または2記載の半導体装置の製造方法。   3. The method of manufacturing a semiconductor device according to claim 1, wherein the step of selectively etching the first insulating layer is performed using plasma of a gas containing hydrogen.
JP2005113645A 1999-03-09 2005-04-11 Manufacturing method of semiconductor device Expired - Fee Related JP4260764B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005113645A JP4260764B2 (en) 1999-03-09 2005-04-11 Manufacturing method of semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP6238199 1999-03-09
JP2005113645A JP4260764B2 (en) 1999-03-09 2005-04-11 Manufacturing method of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000604458 Division 2000-03-06

Publications (2)

Publication Number Publication Date
JP2005223360A true JP2005223360A (en) 2005-08-18
JP4260764B2 JP4260764B2 (en) 2009-04-30

Family

ID=34998696

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005113645A Expired - Fee Related JP4260764B2 (en) 1999-03-09 2005-04-11 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4260764B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007148535A1 (en) * 2006-06-23 2007-12-27 Tokyo Electron Limited Semiconductor device and semiconductor device manufacturing method
WO2008096752A1 (en) * 2007-02-09 2008-08-14 Tokyo Electron Limited Etching method and recording medium
JP2008218959A (en) * 2007-02-09 2008-09-18 Tokyo Electron Ltd Etching method and recording medium
WO2009014748A1 (en) * 2007-07-25 2009-01-29 Tokyo Electron Limited Film forming method for a semiconductor
JP2017045869A (en) * 2015-08-27 2017-03-02 東京エレクトロン株式会社 Plasma treatment method

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9587871B2 (en) 2012-05-03 2017-03-07 Whirlpool Corporation Heater-less ice maker assembly with a twistable tray
US9513045B2 (en) 2012-05-03 2016-12-06 Whirlpool Corporation Heater-less ice maker assembly with a twistable tray
US9310115B2 (en) 2012-12-13 2016-04-12 Whirlpool Corporation Layering of low thermal conductive material on metal tray
CN111829225B (en) * 2019-04-15 2022-03-25 合肥华凌股份有限公司 Ice maker, ice-removing method thereof, electronic device and computer-readable storage medium

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998021748A1 (en) * 1996-11-14 1998-05-22 Tokyo Electron Limited Semiconductor device and its manufacturing method
JPH10144676A (en) * 1996-11-14 1998-05-29 Tokyo Electron Ltd Manufacturing semiconductor element
JPH10223758A (en) * 1996-12-06 1998-08-21 Sony Corp Semiconductor device
JPH10229122A (en) * 1997-02-17 1998-08-25 Sony Corp Manufacture of semiconductor device
JP2000077406A (en) * 1998-08-31 2000-03-14 Nec Corp Manufacture of semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998021748A1 (en) * 1996-11-14 1998-05-22 Tokyo Electron Limited Semiconductor device and its manufacturing method
JPH10144676A (en) * 1996-11-14 1998-05-29 Tokyo Electron Ltd Manufacturing semiconductor element
JPH10223758A (en) * 1996-12-06 1998-08-21 Sony Corp Semiconductor device
JPH10229122A (en) * 1997-02-17 1998-08-25 Sony Corp Manufacture of semiconductor device
JP2000077406A (en) * 1998-08-31 2000-03-14 Nec Corp Manufacture of semiconductor device

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007148535A1 (en) * 2006-06-23 2007-12-27 Tokyo Electron Limited Semiconductor device and semiconductor device manufacturing method
JP2008004841A (en) * 2006-06-23 2008-01-10 Tokyo Electron Ltd Semiconductor device and method for manufacturing the same
WO2008096752A1 (en) * 2007-02-09 2008-08-14 Tokyo Electron Limited Etching method and recording medium
JP2008218959A (en) * 2007-02-09 2008-09-18 Tokyo Electron Ltd Etching method and recording medium
US8383519B2 (en) 2007-02-09 2013-02-26 Tokyo Electron Limited Etching method and recording medium
WO2009014748A1 (en) * 2007-07-25 2009-01-29 Tokyo Electron Limited Film forming method for a semiconductor
US8435882B2 (en) 2007-07-25 2013-05-07 Tokyo Electron Limited Film forming method for a semiconductor
TWI406338B (en) * 2007-07-25 2013-08-21 Tokyo Electron Ltd Film forming method and apparatus for a semiconductor
JP2017045869A (en) * 2015-08-27 2017-03-02 東京エレクトロン株式会社 Plasma treatment method

Also Published As

Publication number Publication date
JP4260764B2 (en) 2009-04-30

Similar Documents

Publication Publication Date Title
KR100407542B1 (en) Semiconductor device and production method therefor
JP4260764B2 (en) Manufacturing method of semiconductor device
US7439174B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR100878170B1 (en) Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP5123924B2 (en) Manufacturing method of semiconductor integrated circuit device
US7326650B2 (en) Method of etching dual damascene structure
US7368379B2 (en) Multi-layer interconnect structure for semiconductor devices
US5607880A (en) Method of fabricating multilevel interconnections in a semiconductor integrated circuit
KR20010106215A (en) Semiconductor device and method of manufacturing the same
JP2002026121A (en) Semiconductor device and method of manufacturing the same, and method of forming insulation film
JP2000091422A (en) Manufacture of multilayer wiring structure
KR100414611B1 (en) Production method for semiconductor device
JP2007157959A (en) Method of manufacturing semiconductor device, and semiconductor device
US7172965B2 (en) Method for manufacturing semiconductor device
JP2004119539A (en) Method for removing resist pattern
JP2004363447A (en) Semiconductor device and method of manufacturing the same
JP2005005697A (en) Manufacturing method of semiconductor device
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US20080057727A1 (en) Method of manufacturing a semiconductor device
JP2003086679A (en) Integrated circuit device and its manufacturing method
US20060105576A1 (en) High ion energy and reative species partial pressure plasma ash process
JP4643975B2 (en) Manufacturing method of semiconductor device
JP2004296835A (en) Method for constructing damascene structure
KR100707657B1 (en) Method for forming copper metal line in semiconductor device
KR20040077311A (en) Dual damascene process of metal wire

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081128

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090123

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090204

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150220

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees