JP2004531057A - 集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法 - Google Patents

集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法 Download PDF

Info

Publication number
JP2004531057A
JP2004531057A JP2002577651A JP2002577651A JP2004531057A JP 2004531057 A JP2004531057 A JP 2004531057A JP 2002577651 A JP2002577651 A JP 2002577651A JP 2002577651 A JP2002577651 A JP 2002577651A JP 2004531057 A JP2004531057 A JP 2004531057A
Authority
JP
Japan
Prior art keywords
mask
overlay
errors
exposure
photolithographic process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002577651A
Other languages
English (en)
Inventor
イエンス ハスマン
Original Assignee
インフィネオン テクノロジーズ アクチェンゲゼルシャフト
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インフィネオン テクノロジーズ アクチェンゲゼルシャフト filed Critical インフィネオン テクノロジーズ アクチェンゲゼルシャフト
Publication of JP2004531057A publication Critical patent/JP2004531057A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Electron Beam Exposure (AREA)

Abstract

本発明の方法は第1の露光装置を用いた第1のマスクの露光によりパターン付けされた少くとも1つの第1のマスク面MEを有する基板Sを準備する工程と、第1のマスク面MEに対して、第2の露光装置を用いた第2のマスク面のパターン付けに用意した第2のマスクMを位置合せする工程と、第1のマスク面MEと第2のマスクMとの間のオーバーレイを測定する工程と、共通の基準グリツドの測定による第1,第2のマスク誤差FA,FX,FB,FYおよび/または第1,第2の露光誤差に関して、予め保存された誤差データFAD,FXD,FBD,FYDを準備する工程と、第1,第2のマスク誤差FA,FX,FB,FYおよび/または第1,第2の露光誤差に関して、予め保存された誤差データFAD,FXD,FBD,FYDを勘案して測定されたオーバーレイを解析する工程と、解析結果に応じて第2のマスクMの位置合せの補正を実行する工程とからなる。

Description

【技術分野】
【0001】
本発明は集積回路のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバレイ調節を最適化する方法に関するものである。
【背景技術】
【0002】
半導体技術における集積回路の製造は通常、できるだけ互いに一致するように位置合せされた複数のマスク面により達成される。オーバーレイ精度を高めるという要求は、集積密度を増加させる。オーバーレイの品質に関しできるだけ明確にし、かつ対応する補正を行うには、組織的な誤謬の原因を明らかにしない限り達成できない。オーバーレイ精度に関連してリソグラフイに生じる誤謬の原因は、なかんずく個々のリソグラフイ段階で用いるフオトマスクの耐性および例えば投影歪みなどの、使用する露光装置の露光誤差である。
【0003】
2つのマスク面のオーバレイを調節する公知の方法では、上述のような誤謬の原因は、これまで考慮されていなかつた。マスクの耐性やレンズの歪み(収差)が特定され、露光装置の組合せが考慮されていたが、これらはまだオーバレイの測定を直接評価するためには、付随的にも組み入れられてはいない。
【0004】
図2a,2bは集積回路を製造するためのリソグラフイツク・プロセスを示す説明図である。
【0005】
図2aにおいて、基板(サブストレイト)Sは第1のマスク面MEを備えられ、第1の露光装置を用いて図示されてない第1のマスクを露光してパターン付けをされる。その後(さらに集積部品の製造に必要なプロセスステツプの後)、フオトレジスト層PLが第1のマスク面MEに施される。第2のマスクMは基板Sに備えられた基準マークを用いて、第1のマスク面MEに位置合せされてマスクALとされる。この場合、第2のマスク面へのパターン付けのための第2のマスクMは、転写のために第2の露光装置に備えてもよい。
【0006】
通常、マスクは一方の面にパターン付けしたクロム層CRを有する透明なガラスキヤリヤGLからなる。光ビームSTはマスクのクロムのない部分を通過することができ、こうして、フオトレジスト層PLを露光し、フオトレジスト層PLにマスクの構成を転写する。
【0007】
図2bに示すように、光ビームSTによる露光の後に現像プロセスEが行われる。ポジテイブ・レジスト層の場合、露光された部分はフオトレジスト層PLからパターン付けされたフオトレジスト層PL´へと溶解される。続いて、マスク面MEに対するフオトレジスト面PL´のオーバレイの測定は、2つの面のオーバーレイ精度の質に係る結果をもたらす。
【0008】
図3は集積回路(IC)の製造のためのフイートバツク指令(ループ)を有する、リソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する公知の方法の基本的ステツプを示す。
【0009】
公知の方法はS10で開始し、基板Sに第1のマスク面MEが備えられる。矢印yで示すように、後のオーバーレイの誤差フアクタは既にここに存在する。つまり、第1のマスク面MEを生成するのに用いた第1のマスクのマスク誤差FAと、第1のマスクを露光するのに用いた第1の露光装置から生じる露光誤差FXとがそれぞれ存在する。
【0010】
S20(リソグラフイ・プロセス)で、第2のマスクMが第1のマスク面MEに位置合せされる。この時、オーバーレイのためにさらなる誤差変数が生じ、これは矢印2で示される。特に、第2のマスクMのマスク誤差FBと、マスクMを露光するのに用いる第2の露光装置の露光誤差FYと、基板Sに対するマスクMのプロセスと位置合せで生じる位置合せ誤差FALとである。
【0011】
マスク誤差FA,FBおよび露光誤差FX,FY(歪み)の高次の成分は、一般に露光装置の補正不能の誤差と見なされる。
【0012】
続くS30で、第1のマスク面MEと第2のマスクMとの間のオーバーレイが測定される。これは例えば処理された基板Sの選択された部分でのボツクス・イン・ボツクス測定のような慣例の方法により行われる。
【0013】
S30でのオーバーレイ測定の結果は、S40で引き続き分析される。通常、このような分析は、所定のアリゴリズムに従つてデジタル化されたデータを解析するソフトウエア・プログラムの助けをかりて実行される。評価のねらいは一方でオーバーレイ精度を査定し、他方で再処理に必要とされる補正パラメータを含む、基板を再処理することの可能性を予測することにある。
【0014】
公知のオーバーレイ分析は誤差FA,FX,FB,FY,FALまたはその他の誤差に影響する誤差相互の相違を区別することができないという欠点がある。したがつて、例えば無作為に分配された誤差画像(歪み)のような露光装置の補正不能の誤差のリストL1と、回転、偏位などのような補正可能の誤差のリストL2との区別は、高度の全体的な仕方でのみ実現される。
【0015】
S50でリストL1,L2を用いて、所定の基準によるオーバーレイ解析の査定が公知の方法で実行される。S60で測定結果は所定の仕様と比較される。
【0016】
もし、S60での比較からオーバーレイが仕様の限界内にあることが分かれば、リソグラフイツク・プロセスのオーバーレイ要素は合格と見なされ、S65で基板Sのさらなる処理を続ける。S60での解答がNOの時はS70で後処理が着手される。特に、このような後処理はS20の繰返しでリストL2に従い補正可能の誤差を生じる。これは接点Vの閉成で示されている。換言すれば、S20が新たに実行された時と同じ回転または偏位のような補正可能の誤差を考慮することができる。
【特許文献1】
US−A−5,444,538は複数の誤位置合せベクトルが計測され、次いで計測された誤位置合せベクトルに基づいて最小化が実行される、オーバーレイ誤差を最適化する方法を開示している。
【非特許文献1】
SPIE会報 921巻207−221頁; XP008,015,147はオーバーレイ誤差を異なる要素に解析する方法を開示している。
【0017】
SPIE会報 3679巻193−207頁; XP008,015,148は異なる誤差要素を互いに分離し得るオーバーレイ誤差の予測方法を開示している。
【発明の開示】
【発明が解決しようとする課題】
【0018】
本発明の目的ないし課題は集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法を提供し、これにより良好な誤差の解析と続くオーバーレイ誤差の補正とを可能にすることである。
【課題を解決するための手段】
【0019】
本発明の課題は請求項1に特定された集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法により達成される。
【0020】
つまり、上記課題を解決するために、本発明の方法は第1の露光装置を用いた第1のマスクの露光によりパターン付けされた少くとも1つの第1のマスク面(ME)を有する基板(S)を準備する工程と、第1のマスク面(ME)に対して、第2の露光装置を用いた第2のマスク面のパターン付けに準備した第2のマスク(M)を位置合せする工程と、第1のマスク面(ME)と第2のマスク面との間のオーバーレイを測定する工程と、共通の基準グリツド(格子)の測定による第1,第2のマスク誤差(FA,FX,FB,FY)および/または第1,第2の露光装置の露光誤差に関してデータベース(DB)に保存された誤差データ(FAD,FXD,FBD,FYD)を準備する工程と、第1,第2のマスク誤差(FA,FX,FB,FY)および/または第1,第2の露光装置の露光誤差に関して予め保存された誤差データ(FAD,FXD,FBD,FYD)を勘案して測定されたオーバーレイを解析する工程と、オーバーレイ解析の結果を予定の仕様と比較する工程と、前記比較の結果に応じて第2のマスク(M)の位置合せを補正するための補正ステツプを実行する工程とからなる。
【発明の効果】
【0021】
本発明が根拠とする思想では、マスクおよび/または露光装置は基準グリツドを用いるのに先立ち測定され、測定から得られたデータが補正不能の誤差のデータベースに保存されることである。これらの誤差データは、後で補正可能の誤差と補正不能の誤差とに分離できるように、オーバーレイ解析中に付随的に考慮される。これは後処理を順に進めるのが好適か否かを査定ないし評価するを簡単にし、したがつて、見込みのない後処理指令(ループ)を避けることに貢献する。その結果、本発明による方法は結局処理時間と装置容量の節約になる。さらに、フィードバツク・指令(ループ)では現実的には補正可能のパラメータだけが重ね合された補正不能の構成要素、つまりオーバーレイ結果の改善に貢献する過剰な補償なしに露光装置を利用できる。
【発明を実施するための最良の形態】
【0022】
本発明の集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法の、効果的な展開と改良が従属請求項に見い出される。
【0023】
好適な展開では解析結果は補正可能な誤差と補正不能の誤差との分離を行う。
【0024】
さらに好適な展開では、補正ステツプの数が所定値を超えるか、予測結果が不十分と査定されると、フオトリソグラフイツク・プロセスは中断される。
【0025】
以下、本発明の具体的実施例を図面に基づき詳細に説明する。
【実施例1】
【0026】
図において、同一の符号は同一の構成部材つまり機能的に同一の構成部材を示す。
【0027】
図1は本発明の第1実施例に係る集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法の基本的ステツプ(以下、各ステツプを単にSで表す)を示す。
【0028】
図1において、S10〜S30は、図3における公知の方法のものと同様に機能するものである。
【0029】
特に、S10で基板Sの第1のマスク面MEが、第1の露光装置を用いた第1のマスクの露光によりパターン付けされる。
【0030】
次いでS20で、第2の露光装置を用いた第2のマスク面のパターン付けに準備された第2のマスクMが、第1のマスク面MEに位置合せされる。
【0031】
最後に、S30で第1のマスク面MEと第2のマスクMとの間のオーバーレイが測定される。
【0032】
続くS40Aは図3における公知のS40とはつきりと異なる。なぜなら、誤差FA,FX,FB,FY,FALの影響を受けた誤差の違いを区別できるからである。
【0033】
この実施例では、上述した第1,第2のマスク誤差FA,ABと第1,第2の露光誤差FX,FYとに関する誤差データFAD,FXD,FBD,FYDが予め準備されていることによる。
【0034】
これらの誤差データFAD,FXD,FBD,FYDは基準グリツド(登録されたもの)による第1,第2のマスクと第1,第2の露光装置の映像との測定により得られる。続いて、誤差データFAD,FXD,FBD,FYDはデータベースDBに保存される。
【0035】
基準グリツドを用いたマスク誤差の測定は非常に簡単に、つりまマスクの製造後に直接実行される。実施例では各マスクが供給されると、前記誤差データを含むフアイルが加えられる。前記フアイルはオーバーレイ解析ソフトウエアのデータベースに保存されている。
【0036】
露光装置のレンズシステムのゆがみも、比較的簡単な手段で、すなわち較正(キヤリブレイト)された測定装置での直接測定によるか、マスク誤差を決定するのに用いた基準グリツドに便宜上対応する、独断的に定義した基準グリツドにより決定される。
【0037】
露光装置のレンズシステムのゆがみを決定するための基準デイスクは、同じ測定装置を用いて便宜上測定され、これにより測定に用いられたマスクも同様に特徴付けられる。こうして、共通の基準レンズシステムが基本として利用できる。
【0038】
これら全てのデータがデータベースDBのような共通の基準に設定され、条件付けされれば、それらはソフトウエアの実行によりオーバーレイ測定の評価に用いることができる。こうして、必要ならば最適の補正パラメータが規定される。
【0039】
したがつて、本発明では前もつて与えられた誤差データFAD,FXD,FBD,FYDが、S40Aで測定されたオーバーレイの解析中に考慮され、関係する誤差源を除去できるという効果を奏する。本実施例では組織的な誤差が分離されるので、補正可能の誤差のリストL2´と補正不能の誤差のリストL1´との分割ないし分離を、極めて簡単かつより正確に行うことができる。
【0040】
S50でリストL1´,L2´を用いて、オーバーレイ解析の予め定められた判断基準による査定が公知の方法で実行される。査定の結果はS60で予め定められた仕様と比較される。
【0041】
もし、S60での比較でオーバーレイが仕様の限界内にあることが分れば、S60での計画されたさらなる処理を継続することができる。S60での解答がNOの場合は、S70で後処理が着手される。これは矢印3で示される。特に、このような後処理は、リストL2´に関連する補正可能の誤差が生じ、接点Vの閉成を表示する結果、S20を繰返し実行する。換言すれば、S20が再び実行された時に特定される、例えば回転、偏位などの補正可能の誤差を抑えることができる。
【0042】
上述したように、本発明を具体的実施例に基づいて述べたが、本発明はこれに限定されるものではなく、種々の変更が可能である。
【0043】
特に、必要と認められる補正のステツプの数が予定の値を超えるか、達成されるべきオーバーレイ結果が予定の仕様と一致しない場合には、後処理が計算された補正の値に効果があつたとしても、フオトリゾグラフイツク・プロセスは中断される。
【0044】
また、比較の結果が仕様の範囲外にあつても補正不能の誤差がある場合は、フオトリゾグラフイツク・プロセスのフイードバツク指令(ループ)は中断される。
【0045】
また、例えばプロセス・パラメータのようなさらなるパラメータが組織的に検出され、S40Aで計数されてもよい。
【図面の簡単な説明】
【0046】
【図1】本発明の第1実施例に係る集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法の基本的ステツプを示す。
【図2】図2a,2bは集積回路の製造のためのフオトリソグラフイツク・プロセスを示す模式図である。
【図3】図3は公知の集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法の基本的ステツプを示す。
【符号の説明】
【0047】
AL:マスク
CR:クロム層
DB:データベース
E:現像プロセス
FA,FB:マスク誤差
FAD,FBD:マスク誤差データ
FAL:位置合せ誤差
FX,FY:露光誤差
FXD,FYD:露光誤差データ
GL:ガラスキヤリヤ
L1,L1´:補正不能の誤差のリスト
L2,L2´:補正可能の誤差のリスト
M:マスク
ME:マスク面
PL,PL´:フオトレジスト層
S:基板
ST:光ビーム
V:接点
y,2〜4:矢印

Claims (4)

  1. 第1の露光装置を用いた第1のマスクの露光によりパターン付けされた少くとも1つの第1のマスク面(ME)を有する基板(S)を準備する工程と、第1のマスク面(ME)に対して、第2の露光装置を用いた第2のマスク面のパターン付けに準備した第2のマスク(M)を位置合せする工程と、第1のマスク面(ME)と第2のマスク面との間のオーバーレイを測定する工程と、共通の基準グリツドの測定による第1,第2のマスク誤差(FA,FX,FB,FY)および/または第1,第2の露光装置の露光誤差に関してデータベース(DB)に保存された誤差データ(FAD,FXD,FBD,FYD)を準備する工程と、第1,第2のマスク誤差(FA,FX,FB,FY)および/または第1,第2の露光装置の露光誤差に関して予め保存された誤差データ(FAD,FXD,FBD,FYD)を勘案して測定されたオーバーレイを解析する工程と、オーバーレイ解析の結果を予定の仕様と比較する工程と、前記比較の結果に応じて第2のマスク(M)の位置合せを補正するための補正ステツプを実行する工程とからなる、集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法。
  2. 前記解析工程は補正可能の誤差のリスト(L2,L2´)と補正不能の誤差のリスト(L1,L1´)とを分離することを特徴とする、請求項1に記載の集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法。
  3. 前記比較の結果が仕様の範囲外にあつても、補正不能の誤差のリスト(L1,L1´)のみが存在する場合には、前記フオトリソグラフイツク・プロセスを中断することを特徴とする、請求項2に記載の集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法。
  4. 前記補正ステツプの数が所定の値を超えるか、予測された結果が不十分と査定された場合には、前記フオトリソグラフイツク・プロセスを中断することを特徴とする、請求項1に記載の集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法。
JP2002577651A 2001-03-28 2002-03-12 集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法 Pending JP2004531057A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10115281A DE10115281A1 (de) 2001-03-28 2001-03-28 Verfahren zur Overlayeinstellung zweier Maskenebenen bei einem photolithographischen Prozess zur Herstellung einer integrierten Schaltung
PCT/EP2002/002704 WO2002079880A2 (de) 2001-03-28 2002-03-12 Verfahren zur overlayeinstellung zweier maskenebenen bei einem photolithographischen prozess

Publications (1)

Publication Number Publication Date
JP2004531057A true JP2004531057A (ja) 2004-10-07

Family

ID=7679381

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002577651A Pending JP2004531057A (ja) 2001-03-28 2002-03-12 集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法

Country Status (7)

Country Link
US (1) US6858445B2 (ja)
EP (1) EP1373982B1 (ja)
JP (1) JP2004531057A (ja)
KR (1) KR100521568B1 (ja)
AT (1) ATE311621T1 (ja)
DE (2) DE10115281A1 (ja)
WO (1) WO2002079880A2 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100922259B1 (ko) * 2007-12-17 2009-10-15 주식회사 동부하이텍 반도체 제조 공정의 패턴 형성 방법에서 오버레이 변동에대한 관리 방법 및 장치
WO2015031337A1 (en) * 2013-08-27 2015-03-05 Kla-Tencor Corporation Removing process-variation-related inaccuracies from scatterometry measurements
US10146141B2 (en) * 2014-08-28 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process and system with enhanced overlay quality
KR20180024961A (ko) 2016-08-31 2018-03-08 에스케이하이닉스 주식회사 노광 마스크의 디스토션 제어방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3229118B2 (ja) * 1993-04-26 2001-11-12 三菱電機株式会社 積層型半導体装置のパターン形成方法
US5444538A (en) * 1994-03-10 1995-08-22 New Vision Systems, Inc. System and method for optimizing the grid and intrafield registration of wafer patterns
US5774222A (en) * 1994-10-07 1998-06-30 Hitachi, Ltd. Manufacturing method of semiconductor substrative and method and apparatus for inspecting defects of patterns on an object to be inspected
DE4441369A1 (de) * 1994-11-21 1996-05-23 Basf Ag Mischungen von Azofarbstoffen für schwarze Farbtöne
JP3859764B2 (ja) 1995-06-27 2006-12-20 株式会社ルネサステクノロジ 重ね合わせ精度測定マーク、そのマークの欠陥修正方法、および、そのマークを有するフォトマスク
DE19629669B4 (de) * 1996-01-17 2008-01-03 MST-Dränbedarf GmbH Begrünungsmatte
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line

Also Published As

Publication number Publication date
EP1373982A2 (de) 2004-01-02
KR20040021594A (ko) 2004-03-10
KR100521568B1 (ko) 2005-10-12
DE10115281A1 (de) 2002-10-24
US6858445B2 (en) 2005-02-22
WO2002079880A9 (de) 2003-12-24
ATE311621T1 (de) 2005-12-15
DE50205111D1 (de) 2006-01-05
WO2002079880A2 (de) 2002-10-10
US20040101769A1 (en) 2004-05-27
WO2002079880A3 (de) 2003-10-02
EP1373982B1 (de) 2005-11-30

Similar Documents

Publication Publication Date Title
CN109891324B (zh) 用于光刻过程的优化的方法
JP4455469B2 (ja) フルチップ製造信頼性チェックおよび補正を行うための方法
US20110154281A1 (en) Optical lithography correction process
JP2010517078A (ja) スキャナベースの光学近接効果補正システムおよびその使用方法
US7730445B2 (en) Pattern data verification method for semiconductor device, computer-readable recording medium having pattern data verification program for semiconductor device recorded, and semiconductor device manufacturing method
CN114207527A (zh) 用于控制半导体制造过程的方法
KR102326191B1 (ko) 디바이스 제조 프로세스
KR20040103897A (ko) 레티클 측정치를 이용한 포토리소그래피 임계 치수 제어
US7544447B2 (en) Method of forming a mask pattern for a semiconductor device
US7251016B2 (en) Method for correcting structure-size-dependent positioning errors in photolithography
CN111771167B (zh) 光刻工艺中的对齐标记定位
KR20030039599A (ko) 공정 에러 측정 방법 및 장치와 이를 이용한 오버레이측정 방법 및 장치
JP2004531057A (ja) 集積回路の製造のためのフオトリソグラフイツク・プロセスにおける2つのマスク面のオーバーレイを調節する方法
JP7054365B2 (ja) 評価方法、露光方法、および物品製造方法
KR20070053625A (ko) 포토마스크의 판정 방법, 및 반도체 장치의 제조 방법
KR100416618B1 (ko) 오버레이 정확도가 향상된 다중노광 방법 및 이를 기록한기록매체
TWI752612B (zh) 決定微影匹配性能
CN114270271B (zh) 用于控制光刻装置的方法
US20100063764A1 (en) Use of different pairs of overlay layers to check an overlay measurement recipe
KR20080021358A (ko) 레티클 제작 방법
EP3796087A1 (en) Determining lithographic matching performance
JP2002280286A (ja) 電子装置の製造方法、露光制御システム並びに電子部品及びその製造方法
KR100714266B1 (ko) 반도체장치의 제조공정에서 이미지 보정 방법
TWI788783B (zh) 光罩測量方法
KR20070005810A (ko) 웨이퍼 얼라인먼트 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070405

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070502