JP2004153280A - エアギャップを有する多孔構造を有する半導体素子及びその製造方法 - Google Patents

エアギャップを有する多孔構造を有する半導体素子及びその製造方法 Download PDF

Info

Publication number
JP2004153280A
JP2004153280A JP2003372547A JP2003372547A JP2004153280A JP 2004153280 A JP2004153280 A JP 2004153280A JP 2003372547 A JP2003372547 A JP 2003372547A JP 2003372547 A JP2003372547 A JP 2003372547A JP 2004153280 A JP2004153280 A JP 2004153280A
Authority
JP
Japan
Prior art keywords
layer
low
dielectric constant
air gap
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003372547A
Other languages
English (en)
Inventor
Devendra Kumar
ディベンドラ・クマール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2004153280A publication Critical patent/JP2004153280A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】低k値ばかりでなく、優れた機械的特性、熱安定性、及びデュアルダマシン構造用の銅との適用能力を有する半導体素子を製造する。
【解決手段】半導体素子を製造するための方法は、(i)ベンゾシクロブテンのような有機ポリマーから作られた犠牲層4をその上に回路が形成された基板1上に蒸着する工程と、(ii)エアギャップが形成されるべき部分5を除いて犠牲層をエッチングする工程と、(iii)エアギャップ用の部分が低誘電率層内に完全に埋没するまで基板上に低誘電率層6を蒸着する工程と、(iv)それを通じるビア孔8及びトレンチ7を形成するべく低誘電率層をエッチングする工程と、(v)工程(iv)の前または後にエアギャップ用の部分を除去する工程と、(vi)銅で満たされるようビア及びトレンチ内に銅10を蒸着する工程であって、その結果銅が基板の表面と接触するところの工程と、から成る。
【選択図】図1

Description

本発明は多孔構造及びボイド(エアギャップ)を有する半導体素子を製造する方法に関し、特に犠牲膜の選択エッチングに関連する方法に関する。
近年、半導体素子はより高速かつより高集積化し、抵抗容量(RC)結合遅延は信号処理時間の大きな要因になってきた。RC遅延は配線容量を削減することにより減少する。これを実行するひとつの方法はフッ素がドープされたSiO2、多孔SiO2、有機膜若しくは多孔膜等のような低比誘電率材料を使用することである。しかし、これらの材料は加工が難しくかつ耐熱性が不十分であり、それが集積化処理を困難にしかつデバイスの信頼性を低下させるという問題を有するため、実際に使用されなかった。フッ化シリコンガラス(FSG)は130nmノード技術で製造されているが、90nm及びそれ以下のノードに対し、k≦3の低k誘電体が将来の半導体素子の製造に所望される。
より高速なロジック及び45nmまたはそれ以下のノード連結構造を有する他のデバイスの大量製造ではk≦2.4のような極低kが必要になると推定されている。
65nmまたはより小さいノードに対する低k層間誘電体(ILD)は、低k値ばかりでなく、優れた機械的特性、熱安定性、及びデュアルダマシン構造用に銅との集積化加工の適用能力を必要とする。これらの集積化加工は例えば、以下の特許文献に開示されている。
米国特許第6440838号明細書 米国特許第6440861号明細書
また現在入手可能な材料、ツール及び装置を大量製造に適応させることがより望ましい。
上記要求を満たすひとつのアプローチはSOD(スピン・オン・誘電体)またはCVD技術によって蒸着された誘電体膜内に多孔質を作成することである。SOD材料に対して、開始材料は酸化ベースのシリカ材料または有機ポリマーである。膜蒸着工程の間に、メインのILD材料とともに犠牲ポロゲン材料が使用される。熱または他の技術を使って、犠牲材料が除去される。しかし、このアプローチには欠点がある。蒸着された誘電体層は、2nmから9nmまたはそれ以上の範囲の孔サイズを有する二モード(bimodal)または三モード(trimodal)孔を伴う非常に高い気孔率(例えば、>30%)を有する。したがって、層の機械的特性は非常に悪く、特に硬度及び引張強度が小さい。また、孔が大きいため、銅とのデュアルダマシン集積化用に新規かつ高価な加工が必要になる。この加工は歩留まりを低下させ、銅との集積化の成功を困難にしている。CVD蒸着されたILDに対し、開始誘電体材料のひとつはAurora(商標)低k膜(日本エー・エス・エム株式会社、東京)のような酸素がドープされたシリコンガラス(OSG)であり、それは3.05またはそれ以下の比誘電率を有し、除去されるべき適当なポロゲン/犠牲材料の使用が要求される。
k<2.4を有する極低k誘電体膜を製造するための他のアプローチは誘電体膜それ自身内にエアギャップを与えることである。本発明は集積化工程の間に生成されるエアギャップを有するELK(極低k)誘電体膜に関する。本発明はRPCVD(遠隔プラズマCVD)または熱CVDを含むPECVD(プラズマ強化CVD)により、またはスパッタリング若しくはSOG(スピン・オン・グラス)により、SiCOベース膜へ適応される。
ひとつの態様において、本発明の実施例は半導体素子を製造するための方法を与え、当該方法は、(i)その上に形成される回路を有する基板上に犠牲層を蒸着する工程と、(ii)エアギャップが形成されるべき部分を除いて犠牲層をエッチングする工程と、(iii)エアギャップ用の部分が低誘電率層内に完全に埋没されるまで低誘電率層を基板上に蒸着する工程と、(iv)それを通じるビア孔及びトレンチを形成するべく低比誘電率層をエッチングする工程と、(v)工程(iv)の前または後に犠牲層のエアギャップ用の部分を除去する工程と、(vi)ビア及びトレンチ内に銅を蒸着し、基板の表面に接触するよう銅で満たす工程と、から成る。上記方法によって、優れた機械的特性及びデュアルダマシン加工への優れた適応性を有するELK膜が効果的かつ単純に得られる。上記において、エッチング停止層が低k膜を蒸着する前に使用されてもよい。エッチング停止層の目的は、機械的サポート及びエッチングを停止するための基準エッチ停止層の2つである。
本発明はさまざまな実施例を含む。例えば、本発明はこれに限定されないが以下の実施例を含む。
上記において、工程(iii)は第1の低誘電率層及びエアギャップ用の部分が同じ高さになるまで第1の低誘電率層を基板上に蒸着する工程(iii-a)と、第1の低誘電率層及びエアギャップ用の部分の上に第2の低誘電率層を蒸着する工程(iii-b)から成る。
さらに基板はその上に犠牲層が蒸着されるところのキャップ層を含み、工程(ii)はさらに当該キャップ層をエッチングする工程を含む。また、基板はさらにキャップ層の下に配線層を含み、該配線層は銅に結合されている。
犠牲層はベンゾシクロブテン(BCB)のような有機ポリマーから作られている。有機ポリマーを使用する際、工程(v)はエッチング温度に基づいた(例えば、BCBの分解温度は400℃以下である)選択エッチング(または選択的熱除去)である。例えば、エッチング温度は犠牲層のタイプ及び誘電体層のタイプに依存して400℃またはそれ以下である。
複数の低誘電率層を使用する際、最初の低誘電率層は3.5またはそれ以下、好適には3.0またはそれ以下、さらに好適には2.5またはそれ以下の比誘電率を有し、最後の低誘電率層は4.0またはそれ以下、好適には3.0またはそれ以下、さらに好適には2.7またはそれ以下の比誘電率を有する。そのような低誘電率層は特に45nmノード素子の製造に有用である。これらの層は多孔質であり、例えばAurora2.7低k膜(日本エー・エス・エム株式会社)はほぼ3.05〜2.7の比誘電率、及びほぼ6〜7%の気孔率を有し、Aurora2.6〜2.4膜(日本エー・エス・エム株式会社)はほぼ2.6〜2.4の比誘電率、及びほぼ15〜16%の気孔率を有する。他の実施例において、k=3.05〜2.7を有するAurora低k膜及びk=2.6〜2.4を有するAuroraULK膜は好適にCVD方によって蒸着される圧縮膜である。AuroraULK膜はDMDMOSのような適当な前駆体と、DVDMSまたは酸素、H2O2、THF、環状または線状アルコール、酸、エーテル及びラクトンのようなあらゆる他の酸素含有分子との組み合わせによって蒸着される。
低誘電率層内のエアギャップは、比誘電率をエアギャップの無い場合の例えば2.4〜2.9(2.5、2.6、2.7及び2.8を含む)からエアギャップを有する場合の例えば1.7〜2.4(1.8、1.9、2.0、2.1、2.2及び2.3を含む)まで減少させるために隣接するビアの間に形成される。ビア及びエアギャップは実質的に同じ高さである。エアギャップ用の部分の高さは1nmから50nmの範囲にある。
上記方法はプラズマCVDチャンバを使って実行される。
他の態様において、本発明の実施例は多孔構造を有する半導体素子を与え、当該素子は、(a)その上に配線層が形成されるところの基板と、(b)5%から30%(好適には10%から20%)の気孔率を有し、さらにボイドまたはエアギャップを有する低誘電率層であって、前記低誘電率層はそれを通じて形成されたビア及びトレンチを有するところの低誘電率層と、(c)ビア及びトレンチが満たされるところの銅のコンタクト層であって、前記コンタクト層は配線層と接触しており、コンタクト層の上面は誘電体層から露出している、ところのコンタクト層と、から成る。エアギャップ内に存在する流体のタイプは限定されず、周囲の環境に依存するが、単純にエアギャップはボイドであり空気で満たされている。
本発明のこの態様はそれに限定されないが以下の実施例を含む。
低誘電率層及びコンタクト層が複数回ラミネートされる。
低誘電率層はエアギャップを含み、ビア及び該エアギャップは実質的に同じ高さである。低誘電率層の材料は2.95またはそれ以下の比誘電率を有する。
発明及び従来技術を超える利点を要約するために、本発明のある目的及び利点が上述されてきた。もちろん、発明の特定の実施例に従ってすべての目的及び利点が必ずしも達成されるものではないことが理解されるべきである。したがって、例えば発明はここに教示されまたは提案された他の目的または利点を必ずしも達成することなく、ここに教示されるようなひとつの利点または利点のグループを達成しまたは最適化する方法で実施されまたは実行されることは当業者の知るところである。
本発明の他の態様、特徴及び利点は以下の好適実施例の詳細な説明から明らかとなる。
上記したように、ひとつの態様において、本発明は半導体素子を製造するための方法を与え、その方法はひとつのオペレーションシステムとして動作するあらゆる適当なプラズマCVDチャンバを使って実行される。これは本発明の利点である。しかし、それぞれの工程に対して特定的に設計されたチャンバが使用される。当該方法はあらゆるダマシン加工、特にここに参考文献として組み込む米国特許第6440838号または米国特許第6440861号に説明されるデュアルダマシン加工に適している。
実施例は、(i)その上に形成された回路を有する基板上に犠牲層を蒸着する工程と、(ii)エアギャップが形成されるべき部分以外の犠牲層をエッチングする工程と、(iii)エアギャップ用の部分が低誘電率層内に埋没されるまで基板上に低誘電率層を蒸着する工程と、(iv)それを通じてビア及びトレンチを形成するべく低誘電率層をエッチングする工程と、(v)工程(iv)の前または後に、犠牲層のエアギャップ用部分を除去する工程と、(vi)ビア及びトレンチ内に銅を蒸着し、基板の表面に接触するよう銅で満たす工程と、から成る。上記において、エッチング停止層が低誘電率層を蒸着する前に使用されてもよい。エッチング停止層の目的は機械的サポート及びエッチングを停止させるための基準エッチング停止層の2つである。
工程(iii)は複数の低k層を形成するための複数の工程からなる。例えば、工程(iii)は、第1の低誘電率層及びエアギャップ用の部分が同じ高さになるまで第1の低誘電率層を基板上に蒸着する工程(iii-a)と、第1の低誘電率層及びエアギャップ用の部分の上に第2の低誘電率層を蒸着する工程(iii-b)から成る。層の数は2つに限定されず、2以上の3、4及び5であってもよい。
エッチング停止層は第1の低誘電率層と第2の低誘電率層との間に形成される。
複数の低誘電率層を使う際、最初の低誘電率層は2.75またはそれ以下(他の実施例では2.4から2.9の範囲)の比誘電率を有し、最後の低誘電率層は4またはそれ以下(2.4から4の範囲を含む)の比誘電率を有する。低誘電率層は非ドープシリコンガラス(NSG)、リン酸塩ドープシリコンガラス(PSG)、ボロンリン酸塩ドープシリコンガラス(BPSG)、フッ素ドープシリコンガラス(FSG)、シリコン含有炭素化合物、OSG若しくはCDO、有機シリコン、またはシロキサンポリマー等から作成される。シロキサンポリマーは、米国特許第6455445号(2002年9月24日登録)、第6352945号(2002年3月5日登録)、第6383955号(2002年5月7日登録)、第6410463号(2002年6月25日登録)、第6432846号(2002年8月13日登録)に開示されるように有効に使用され、これらの開示された材料ガスは本発明においても使用可能である。これらの米国特許の開示はここに参考文献として組み込まれる。
上記において、比誘電率はエアギャップの形成前に測定されたものであり、基板上に形成された膜形式の材料それ自身の比誘電率である。
低誘電率層は前述のあらゆるものから作成される単一層で構成され得る。誘電体層の厚さはトータルで1nmから1000nm(好適には1nmから500nm)の範囲にある。2つの層を使う場合、第1の層は1nmから10nmの厚さを有し、第2の層は1nmから300nmの厚さを有する。
エアギャップまたはボイドを含む低誘電率層は2.4またはそれ以下、好適には2.2またはそれ以下(他の実施例では1.7から2.5の範囲)の比誘電率を有する。
犠牲層は選択エッチングが可能な性質を有するあらゆる適当な材料から作成され得る。すなわち、犠牲層は選択的にエッチングされるが、低誘電率層及び他の非犠牲層は実質的にエッチングされない。好適には、材料はCVD蒸着され、その結果半導体素子は複数の処理チャンバから成るシングル・オペレーション・システムを使って製造される。PECVDが使用される実施例において、材料はベンゾシクロブテン(BCB)、酸素または窒素が結合するかまたは結合しない芳香族または脂肪族炭化水素のような炭化水素または有機ポリマーである。犠牲層の形成は上記米国特許に基づいて実行されてもよい。スピン・オン法が使用される他の実施例において、BCB、ポリアダメンタン、ポリハイドロカーボン、ポリエーテル、ポリラクトンなどが使用される。
有機ポリマーを使用する際、工程(v)は犠牲層材料が選択的に熱分解されるエッチング温度に基づく選択エッチングである。例えば、エッチング温度(すなわち、材料の熱分解温度)は、犠牲層のタイプ及び誘電層のタイプの組合せに依存して400℃またはそれ以下(他の実施例では150℃から425℃の範囲)である。例えば、化合物がほぼ375℃の温度で非常に熱分解しやすいアルキレン結合を有するため、BCBのような炭化水素ポリマーは400℃またはそれ以下の温度で分解される。犠牲層材料が分解される際、それは分解温度において気体状態となり、その結果材料は多孔誘電体層内に進入し、犠牲材料の除去を成し遂げる。
犠牲層の材料は、選択的な熱処理及びフッ素含有炭化水素、酸素及び窒素含有炭化水素のようなエッチングガスによって100から1000Paの圧力で他のエッチング処理に晒されてもよい。犠牲層の厚さは1nmから1000nm(好適には、1nmから100nm)の範囲にある。
実施例において、犠牲層が蒸着された後、当該層は低誘電率層内にエアギャップまたはボイドを形成するための部分を除いてエッチングに晒される。このエッチングはSiO2及びSiCに対してAr/O2/CH2F2、Auroraトレンチ及びビアに対してAr/O2/C4F8/N2/CH2F2、バリア誘電体層に対してAr/O2/CF4/CH2CF2の組合せような現Aurora低k膜が確立した化学により達成される。誘電体層は5〜30%(10%〜20%の範囲を含む)の気孔率を有し、その結果ガス状態の犠牲層材料はエッチング停止層またはキャップ膜層内にわざわざ開口部を形成することなく孔を通じて簡単に除去される。気孔率が高すぎると、機械的強度が悪化する。エアギャップ用の部分はパターンで形成される。該パターンは低誘電率層内にエアギャップ構造を形成するための整列した小片(突起)から構成される。エアギャップまたはボイド用の各突起の形状はさまざまで、断面は円、長円、三角形、矩形等である。各突起の高さ及び幅はそれぞれ0.5nmから500nm(1nmから200nmの範囲を含む)及び0.5nmから1000nm(0.5nmから500nmの範囲を含む)である。パターンは突起を配置するように構成され、その結果ビアは突起の間に形成される。形成されるべきビア及びエアギャップは実質的に同じ高さである。実施例において、エアギャップは、誘電体層の比誘電率が2.4〜2.9の範囲から1.7〜2.4の範囲まで減少するか、または0.4〜0.7だけ減少するように分布する。実施例において、誘電体層の比誘電率は3.0〜3.5と高く、例えば2.5〜3.0に削減される。
さらに基板はその上に犠牲層が蒸着するところのキャップ層を含み、上述した工程(ii)はさらにキャップ層をエッチングする工程を含む。また、基板はさらにキャップ層の下に配線層を含み、配線層は銅に結合されている。これらの層はあらゆる適当な方法で形成される。
実施例において、キャップ層は、ポリシリコン(多結晶シリコン)、アモルファスシリコン、SiN、SiON、SiO、有機膜または多孔膜のいずれかであり、その厚さは1nmから100nmである。
実施例において、半導体素子を製造する方法はエアギャップを有する多孔構造の形式で層間絶縁体を形成する工程から成る。
他の態様において、多孔構造を有する半導体素子を与える本発明の実施例は、(a)その上に配線層が形成されるところの基板と、(b)5%〜30%(6〜25%を含む)の気孔率及びエアギャップまたはボイドを有する低誘電率層であって、前記低誘電率層はそれを通じるビア及びトレンチを有する、ところの低誘電率層と、(c)ビア及びトレンチが満たされる銅のコンタクト層であって、前記コンタクト層は配線層と接触しており、コンタクト層の上面は誘電体層から露出している、ところのコンタクト層と、から成る。
本発明は図面を参照して以下に説明される。本発明はさまざまな実施例を含み、以下の実施例に限定されない。
実施例は図1から3に示されている。図1(a)から(f)は半導体素子内にエアギャップを有する多孔構造を形成するための方法を示す断面図である。図1(a)において、配線層2(例えば、銅から作られた)が半導体基板1上に形成される。配線層2は既存のツール及び加工技術を使ってECD/ECMD銅蒸着によって形成され、続いて既存のツール及び加工技術を使ってCMP研磨される。配線層2はMOCVD銅蒸着によっても形成され、続いてCMP研磨される。
配線層2及び基板1の上に、既存のツール及び加工技術を使ったPECVDまたはSOD蒸着によってキャップ膜層3(例えば、SiC、SiN、SiCN、SiCOから作られた)が5nmから100nmの厚さで形成される。その後その上に既存のツール及び加工技術を含むあらゆる適当な方法を使ったPECVDまたはSODによって犠牲層4(例えば、BCBから作られた)が形成される。
図1(b)において、マスク(図示せず)を配置した後、犠牲層4がパターニングされ、エアギャップが形成されるべき部分(突起)を除きエッチングされる。突起は円柱形であり、1nmから200nmの高さ、25nmから65nmの直径を有し、隣接する突起間の距離は50nmから500nmである。この処理はプラズマエッチングによって実行される。結果として、エアギャップ用の部分5のみがキャップ膜層3上に残される。部分5の高さはビア孔8の高さと等しくなければならない。
図1(c)において、低誘電率層6(例えば、SiCOまたはFSG若しくは酸化膜から作られた)が形成され、その結果突起5は低誘電率層6によって完全に覆われ、トレンチ7が形成されるべき層がさらに形成される。低誘電率層6は2.4から4の比誘電率、1GPaから6GPaの硬度、4GPaから30GPaの弾性率を有し、応力は好適には圧縮応力である。低誘電率層6を形成した後、層の上面は研磨されるかまたは平らにするようエッチングされる。低誘電率層はエッチング液に対する耐性及び/または耐熱性に関して犠牲層に比べ安定であることが要求され、その結果犠牲層は低誘電率層への不所望なエッチングが生じることなく効果的に除去される。
図1(d)において、ビア孔8及びトレンチ7は低誘電率層6内に形成される。孔はプラズマエッチングによって形成される。トレンチはプラズマエッチングによって形成される。
図1(e)において、突起5はエアギャップまたはボイド9を形成するべく150℃から425℃の熱処理によってエッチングされかつ除去される。低誘電率層6は機械的及び熱的安定性を有し、その結果突起5のみが選択的にエッチングされる。突起5は150℃から425℃の温度で分解され、エッチングガス(例えば、水素及びフッ素化合物)を使ってエアギャップ9がクリーニングされる。低誘電率層の安定温度は430℃から475℃であり、犠牲層の融解温度より高い。融解した突起を低誘電率層6から除去するために、Aurora低k膜(日本エー・エス・エム株式会社)はほぼ7%の均質な気孔率を有し、Aurora2.4はほぼ17%の気孔率を有する。これらの気孔率の値は分解したBCBガスを除去するには十分である。結果として、低誘電率層6の比誘電率は減少する。
図1(f)において、ビア及びトレンチは結合用の銅10で満たされる。この工程に対してあらゆる適当な方法が使用され得る。
上記において、図1(d)に示された工程及び図1(e)に示された工程は、図2(a)から図2(f)に示されるシーケンスでは逆転して実行される。図2(a)、2(b)、2(c)、及び2(f)はそれぞれ図1(a)、1(b)、1(c)及び1(f)に示されるものに対応する。図1(d)及び1(e)に示される工程は図2(e)及び2(d)として逆転されている。
図3(a)から3(g)は他の実施例を示す。この実施例において、ビアを形成するのに使用される第1の低誘電率層はトレンチを形成するのに使用される第2の低誘電率層と異なる。実施例において、第2の低誘電率層は、ライン間またはライン内の容量を減少させるために第1の低誘電体層より低い比誘電率を有する。図1及び2に示された先の実施例において、層の厚さがトレンチを形成するのに十分になるまで層は継続して蒸着されつづけるが、エアギャップはビアが形成される層内に形成される。しかし、エアギャップはトレンチが形成されるところの層内に形成されることもでき、層を通じてエアギャップの高さがビアの高さより高くなる。図3に示された実施例において、ビアが形成されるところの第1の層はトレンチが形成されるところの第2の層と異なるため、エアギャップの高さはビアの高さより高くない(エアギャップの高さはビアの高さより低くなり得るが)。
図3(a)、3(b)及び3(g)に示される工程はそれぞれ図1(a)、1(b)及び1(f)に示された工程に対応する。図3(c)において、第1の低誘電率層20が形成され、続いて表面を平らにするべく上面が研磨またはエッチングされる。第1の低誘電率層はAuroraULK(極低k)膜から作られ、2.4から2.7(2.4から2.6の範囲を含む)の比誘電率を有する。さらに、層は良好な機械的特性、例えば1GPaから1.2GPaの硬度を有する。第1の低誘電率層は図1または図2で使用された低誘電率層と同じかまたは異なる。
図3(d)において、第2の低誘電率層21が第1の低誘電率層20の上に蒸着される。この実施例において、第2の低誘電率層21は第1の低誘電率層と異なり、素子に所望されるような第1の低誘電率層より低い比誘電率を有する。集積化の後のスタック比誘電率は低い。第2の低誘電率層もまたより低い機械的特性を有し、例えば1GPaから2GPaの硬度を有する。他の実施例において、第2の低誘電率層は第1の低誘電率層より高い比誘電率を有し、スタックのより高い機械的特性が要求される場合にはより高い機械的特性を有する。第2の低誘電率層は図1または図2に示された低誘電率層と同じかまたは異なる。低誘電率層はプラズマCVD(RP-CVD及びPECVDを含む)及び熱CVD等を含むあらゆる適当な方法によって蒸着される。ここに参考文献として組み込む上記米国特許に開示された方法がこの目的のために使用され得る。
実施例において、キャップ膜層(例えば、SiO2またはSiONから作られる)は第1の低誘電率層20と第2の低誘電率層21との間に1nmから5nmの厚さで形成され、その結果誘電体の表面はCMPの後のクリーニング用に親水性であり、またこの層はCMPエッチング停止層として使用される。
図3(e)、3(f)及び3(g)に示される工程は、それぞれ図1(d)、1(e)及び1(f)に示される工程に対応する。第1の低誘電率層に対するエッチング条件は材料が異なるために第2の低誘電率層に対する条件と異なる。
図2に示された工程に関して、図3(e)及び3(f)に示された工程のシーケンスは逆転され、犠牲層の除去工程はビア及びトレンチエッチング工程の前に実行される。ビア及びトレンチの内側面の不所望なエッチングが回避されるため、この逆転シーケンスは実施例において好適である。
低誘電率層の数は2つに限定されず、あらゆる所望の層が使用され得る。実施例において、層の特性は底から天に向かって徐々に変化する。
上記工程において、犠牲層及び低誘電率層は単一のCVDツールによって蒸着される。例えば、CVDツールが少なくとも3つのチャンバを有するクラスタツールであれば、犠牲層を熱的に除去するためにひとつのチャンバが使用され得る。エッチング停止及びキャップ層を付加するために、クラスタツールはその目的のための付加的チャンバ(すなわち、全部で4つのチャンバ)を有してもよい。
本発明にしたがって、エアギャップを有する有効な多孔構造が効果的に形成される。この処理は相互連結層に有効に適用される。さまざまな実施例が、改善された選択性、より高い処理安定性、減少した製造コスト及び増加した歩留まりを含む多くの利点を与える。
本発明の思想を離れることなくさまざまな修正が為され得ることは当業者の知るところである。したがって、本発明の形式は例証にすぎず、本発明の態様を制限するものではないことが明確に理解されるべきである。
図1(a)〜(f)は半導体素子内にエアギャップを有する多孔構造を製造するための方法を示す実施例の断面図である。 図2(a)〜(f)は半導体素子内にエアギャップを有する多孔構造を製造するための方法を示す他の実施例の断面図である。 図3(a)〜(g)は半導体素子内にエアギャップを有する多孔構造を製造するための方法を示す他の実施例の断面図である。
符号の説明
1 基板
2 配線層
3 キャップ膜層
4 犠牲層
5 エアギャップ用の部分
6 低誘電率層
7 トレンチ
8 ビア
9 エアギャップ
10 銅

Claims (27)

  1. 半導体素子を製造するための方法であって、
    (i)その上に形成された回路を有する基板上に犠牲層を蒸着する工程と、
    (ii)エアギャップが形成されるべき部分を除いて犠牲層をエッチングする工程と、
    (iii)エアギャップ用の部分が低誘電率層内に完全に埋没されるまで、基板上に低誘電率層を蒸着する工程と、
    (iv)それを通じるビア及びトレンチを形成するべく低誘電率層をエッチングする工程と、
    (v)工程(iv)の前または後に、犠牲層のエアギャップ用の部分を除去する工程と、
    (vi)ビア及びトレンチ内に銅を蒸着し、基板の表面に接触するよう銅で満たす工程と、
    から成る方法。
  2. 請求項1に記載の方法であって、工程(v)は工程(iv)の後に実行される、ところの方法。
  3. 請求項1に記載の方法であって、工程(iii)は、
    第1の低誘電体層及びエアギャップ用の部分が等しい高さになるまで基板上に第1の低誘電率層を蒸着する工程と、
    第1の低誘電体層及びエアギャップ用の部分上に第2の低誘電体層を蒸着する工程と、
    から成る方法。
  4. 請求項3に記載の方法であって、さらに第1の低誘電率層と第2の低誘電率層との間にエッチング停止層を蒸着する工程を含む、ところの方法。
  5. 請求項1に記載の方法であって、さらに基板はその上に犠牲膜が蒸着するところのキャップ層を含み、工程(ii)はさらにキャップ層をエッチングする工程を含む、ところの方法。
  6. 請求項5に記載の方法であって、さらに基板はキャップ層の下に配線層を含み、配線層は銅に結合される、ところの方法。
  7. 請求項1に記載の方法であって、犠牲層は有機ポリマーから作られる、ところの方法。
  8. 請求項7に記載の方法であって、有機ポリマーはベンゾシクロブテン(BCB)である、ところの方法。
  9. 請求項1に記載の方法であって、工程(v)はエッチング温度に基づく選択エッチングである、ところの方法。
  10. 請求項9に記載の方法であって、エッチング温度は400℃またはそれ以下である、ところの方法。
  11. 請求項3に記載の方法であって、第1の低誘電率層は3.0またはそれ以下の比誘電率を有する、ところの方法。
  12. 請求項11に記載の方法であって、第1の低誘電率層は圧縮応力を有する、ところの方法。
  13. 請求項3に記載の方法であって、第1の低誘電率層はジメチルジメトキシシラン(DMDMOS)とジビニルジメチルシラン(DVDMS)または酸素含有分子との組合せによって蒸着される、ところの方法。
  14. 請求項3に記載の方法であって、第2の低誘電率層は2.6またはそれ以下の比誘電率を有する、ところの方法。
  15. 請求項14に記載の方法であって、第2の低誘電率層は圧縮応力を有する、ところの方法。
  16. 請求項3に記載の方法であって、第2の低誘電率層はジメチルジメトキシシラン(DMDMOS)とジビニルジメチルシラン(DVDMS)または酸素含有分子との組合せによって蒸着される、ところの方法。
  17. 請求項1に記載の方法であって、低誘電率層内のエアギャップ用の部分は6%から25%の気孔率を与えるよう形成される、ところの方法。
  18. 請求項1に記載の方法であって、エアギャップ用の部分の高さは1nmから100nmの範囲である、ところの方法。
  19. 請求項1に記載の方法であって、エアギャップを含む低誘電率層は2.3またはそれ以下の比誘電率を有する、ところの方法。
  20. 請求項1に記載の方法であって、プラズマCVDチャンバを使って実行される、ところの方法。
  21. 請求項1に記載の方法であって、ビア及びエアギャップは実質的に等しい高さである、ところの方法。
  22. 中空構造を有する半導体素子であって、
    その上に配線層が形成されるところの基板と、
    6%から25%の気孔率を有する低誘電率層であって、前記低誘電率層はそれを通じて形成されたビア及びトレンチを有しかつ隣接するビアの間にボイドを有する、ところの低誘電率層と、
    ビア及びトレンチが満たされるところの銅のコンタクト層であって、前記コンタクト層は配線層と接触しており、コンタクト層の上面は誘電体層から露出している、ところのコンタクト層と、
    から成る半導体素子。
  23. 請求項22に記載の半導体素子であって、ボイドを有する低誘電率層は2.3またはそれ以下の比誘電率を有する、ところの半導体素子。
  24. 請求項22に記載の半導体素子であって、低誘電率層及びコンタクト層は複数回ラミネートされている、ところの半導体素子。
  25. 請求項22に記載の半導体素子であって、ボイドはエアギャップであり、ビア及びエアギャップは実質的に等しい高さである、ところの半導体素子。
  26. 請求項22に記載の半導体素子であって、低誘電率層の材料は2.9またはそれ以下の比誘電率を有する、ところの半導体素子。
  27. 請求項22に記載の半導体素子であって、さらに第1の低誘電率層と第2の低誘電率層との間にエッチング停止層を有する、ところの半導体素子。
JP2003372547A 2002-10-31 2003-10-31 エアギャップを有する多孔構造を有する半導体素子及びその製造方法 Pending JP2004153280A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US42295602P 2002-10-31 2002-10-31
US10/693,200 US6949456B2 (en) 2002-10-31 2003-10-24 Method for manufacturing semiconductor device having porous structure with air-gaps

Publications (1)

Publication Number Publication Date
JP2004153280A true JP2004153280A (ja) 2004-05-27

Family

ID=32179963

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003372547A Pending JP2004153280A (ja) 2002-10-31 2003-10-31 エアギャップを有する多孔構造を有する半導体素子及びその製造方法

Country Status (2)

Country Link
US (2) US6949456B2 (ja)
JP (1) JP2004153280A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006269537A (ja) * 2005-03-22 2006-10-05 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2008235890A (ja) * 2007-03-16 2008-10-02 Commiss Energ Atom 集積回路用のキャビティを有する配線構造の製造方法
US10096485B2 (en) 2015-02-19 2018-10-09 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003233470A1 (en) * 2002-04-02 2003-10-20 Dow Global Technologies Inc. Process for making air gap containing semiconducting devices and resulting semiconducting device
US7585785B2 (en) * 2003-02-05 2009-09-08 Dow Global Technologies Sacrificial benzocyclobutene copolymers for making air gap semiconductor devices
US7598114B2 (en) * 2003-02-05 2009-10-06 Dow Global Technologies Inc. Sacrificial benzocyclobutene/norbornene polymers for making air gap semiconductor devices
US20060264065A1 (en) * 2003-02-05 2006-11-23 So Ying H Sacrificial styrene benzocyclobutene copolymers for making air gap semiconductor devices
JP2007516599A (ja) * 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7071091B2 (en) * 2004-04-20 2006-07-04 Intel Corporation Method of forming air gaps in a dielectric material using a sacrificial film
US7067435B2 (en) * 2004-09-29 2006-06-27 Texas Instruments Incorporated Method for etch-stop layer etching during damascene dielectric etching with low polymerization
US20060157776A1 (en) * 2005-01-20 2006-07-20 Cheng-Hung Chang System and method for contact module processing
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
DE102005008476B4 (de) * 2005-02-24 2006-12-21 Infineon Technologies Ag Leitbahnanordnung sowie zugehöriges Herstellungsverfahren
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7994046B2 (en) * 2006-01-27 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
JP2008091639A (ja) * 2006-10-02 2008-04-17 Nec Electronics Corp 電子装置およびその製造方法
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) * 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
US20090081862A1 (en) * 2007-09-24 2009-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap structure design for advanced integrated circuit technology
US20090121356A1 (en) * 2007-11-12 2009-05-14 Rohm Co., Ltd. Semiconductor device and method of manufacturing semiconductor device
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8425982B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) * 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US7754601B2 (en) * 2008-06-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect air gap formation process
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US7790601B1 (en) * 2009-09-17 2010-09-07 International Business Machines Corporation Forming interconnects with air gaps
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8034677B2 (en) * 2010-02-25 2011-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated method for forming high-k metal gate FinFET devices
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
KR20120048991A (ko) * 2010-11-08 2012-05-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
CN102376684B (zh) * 2011-11-25 2016-04-06 上海集成电路研发中心有限公司 铜互连结构及其制作方法
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8802551B1 (en) * 2013-02-21 2014-08-12 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using voids in a sacrificial layer
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102658192B1 (ko) * 2016-07-27 2024-04-18 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US20190096820A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hardened interlayer dielectric layer
US10679893B2 (en) 2018-09-04 2020-06-09 United Microelectronics Corp. Interconnection structure and method of forming the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6403461B1 (en) * 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869880A (en) * 1995-12-29 1999-02-09 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US6017814A (en) * 1996-03-13 2000-01-25 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US6057224A (en) * 1996-03-29 2000-05-02 Vlsi Technology, Inc. Methods for making semiconductor devices having air dielectric interconnect structures
US6577011B1 (en) * 1997-07-10 2003-06-10 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6078088A (en) * 1999-01-05 2000-06-20 Advanced Micro Devices, Inc. Low dielectric semiconductor device with rigid lined interconnection system
US6255712B1 (en) * 1999-08-14 2001-07-03 International Business Machines Corporation Semi-sacrificial diamond for air dielectric formation
FR2803438B1 (fr) * 1999-12-29 2002-02-08 Commissariat Energie Atomique Procede de realisation d'une structure d'interconnexions comprenant une isolation electrique incluant des cavites d'air ou de vide
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
TW451449B (en) * 2000-08-17 2001-08-21 United Microelectronics Corp Manufacturing method of dual damascene structure
US6346484B1 (en) * 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
TWI227043B (en) * 2000-09-01 2005-01-21 Koninkl Philips Electronics Nv Method of manufacturing a semiconductor device
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6710450B2 (en) * 2001-02-28 2004-03-23 International Business Machines Corporation Interconnect structure with precise conductor resistance and method to form same
JP4545973B2 (ja) * 2001-03-23 2010-09-15 富士通株式会社 シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法
AU2002323040A1 (en) * 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6555467B2 (en) * 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US6440838B1 (en) * 2001-11-20 2002-08-27 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene structure employing laminated intermediate etch stop layer
US7042095B2 (en) * 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US7442756B2 (en) * 2002-06-20 2008-10-28 Infineon Technologies Ag Polymer for sealing porous materials during chip production
US6867125B2 (en) * 2002-09-26 2005-03-15 Intel Corporation Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US6924238B2 (en) * 2003-06-05 2005-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Edge peeling improvement of low-k dielectric materials stack by adjusting EBR resistance
US6919636B1 (en) * 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US7071091B2 (en) * 2004-04-20 2006-07-04 Intel Corporation Method of forming air gaps in a dielectric material using a sacrificial film
US7094669B2 (en) * 2004-08-03 2006-08-22 Chartered Semiconductor Manufacturing Ltd Structure and method of liner air gap formation
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7772702B2 (en) * 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6403461B1 (en) * 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006269537A (ja) * 2005-03-22 2006-10-05 Toshiba Corp 半導体装置の製造方法及び半導体装置
US7439185B2 (en) 2005-03-22 2008-10-21 Kabushiki Kaisha Toshiba Method for fabricating semiconductor device and semiconductor device
US7884474B2 (en) 2005-03-22 2011-02-08 Kabushiki Kaisha Toshiba Method for fabricating semiconductor device and semiconductor device
JP4679193B2 (ja) * 2005-03-22 2011-04-27 株式会社東芝 半導体装置の製造方法及び半導体装置
JP2008235890A (ja) * 2007-03-16 2008-10-02 Commiss Energ Atom 集積回路用のキャビティを有する配線構造の製造方法
US10096485B2 (en) 2015-02-19 2018-10-09 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
US20050179135A1 (en) 2005-08-18
US6949456B2 (en) 2005-09-27
US20040087133A1 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
JP2004153280A (ja) エアギャップを有する多孔構造を有する半導体素子及びその製造方法
US9673087B2 (en) Interconnect structures incorporating air-gap spacers
US6914335B2 (en) Semiconductor device having a low-K dielectric layer
KR100767127B1 (ko) 2중-다마신 유전체 구조 및 그 제조방법
US7030031B2 (en) Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US6114186A (en) Hydrogen silsesquioxane thin films for low capacitance structures in integrated circuits
US6756321B2 (en) Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
US20090075470A1 (en) Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
US20010054765A1 (en) Semiconductor device and method and apparatus for manufacturing the same
US20050233572A1 (en) Dual damascene structure formed of low-k dielectric materials
US20020094698A1 (en) Solution to black diamond film delamination problem
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US6770570B2 (en) Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer
US20060214303A1 (en) Organic-framework zeolite interlayer dielectrics
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US20020173157A1 (en) Dual damascene method employing composite low dielectric constant dielectric layer having intrinsic etch stop characteristics
US7307014B2 (en) Method of forming a via contact structure using a dual damascene process
KR20040101008A (ko) 반도체 장치의 제조 방법
US20070246830A1 (en) Long-lifetime interconnect structure and method for making
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
KR100629260B1 (ko) 선택적 장벽금속층을 갖는 반도체소자의 콘택 구조체형성방법
GB2358734A (en) Process for fabricating integrated circuit with multi-layer dielectric having reduced capacitance
GB2358733A (en) Integrated circuit with multi-layer dielectric having reduced capacitance
KR101081852B1 (ko) 반도체 소자 및 이의 금속 배선 형성 방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040216

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060911

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081030

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100720

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101115