JP2003519913A - コンデンサ構造のための低熱収支金属酸化物堆積 - Google Patents

コンデンサ構造のための低熱収支金属酸化物堆積

Info

Publication number
JP2003519913A
JP2003519913A JP2001550790A JP2001550790A JP2003519913A JP 2003519913 A JP2003519913 A JP 2003519913A JP 2001550790 A JP2001550790 A JP 2001550790A JP 2001550790 A JP2001550790 A JP 2001550790A JP 2003519913 A JP2003519913 A JP 2003519913A
Authority
JP
Japan
Prior art keywords
substrate temperature
metal oxide
electrode
substrate
annealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001550790A
Other languages
English (en)
Inventor
チャールズ ドーンフェスト,
チャオリャン ジン,
エイジン ワン,
ジュン ジャオ,
泰利 奥野
昭彦 鼓谷
義弘 森
シュレヤス クゥァー,
アナベル ニクレス,
ジェリー タオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Applied Materials Inc
Original Assignee
Matsushita Electric Industrial Co Ltd
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd, Applied Materials Inc filed Critical Matsushita Electric Industrial Co Ltd
Publication of JP2003519913A publication Critical patent/JP2003519913A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 該プロセスは、基板上に約480℃以下の基板温度でCVD金属酸化物層を堆積し、該金属酸化物をアニーリングする。アニーリングは、約600℃〜900℃の第1基板温度を約0.1秒〜30分維持し、約500℃〜600℃の第2基板温度を少なくとも10分維持する。また、本プロセスは、第1電極を堆積し、その上に約480℃に以下の基板温度でCVD金属酸化物層を堆積し、その上に第2電極を堆積させる。該金属酸化物層は第2電極の堆積前又は後にアニーリングされる。アニーリングは、約600℃〜900℃の第1基板温度を約0.1秒〜30分維持し、約500℃〜600℃の第2基板温度を少なくとも10分維持することを含む。本発明は、プラチナボトム電極と、プラチナトップ電極と、間に配置される誘電層とを備えるコンデンサを提供しており、該コンデンサは10fA/セル未満の電流漏れを有している。

Description

【発明の詳細な説明】
【0001】
【発明の経歴】
本発明は、2000年1月6日に出願された仮米国特許願第60/174,98
3号の優先権を主張しており、その全文は参照により本明細書に組み込まれる。
【0002】
【発明の分野】
本発明は、基板上への金属酸化物膜の堆積のためのプロセスに関するものであ
る。また、本発明は、ダイナミックランダムアクセス記憶装置モジュールにおい
て使用されるコンデンサを製造するため、プラチナ電極上へのBSTの堆積のよ
うに導電材料上に金属酸化物膜を堆積させるためのプロセスに関するものである
【0003】
【発明の背景】
ダイナミックランダムアクセス記憶装置(DRAM)集積回路は、ディジタル
コンピュータにおいてデータを保管するために一般的に使用されている。現在入
手可能のDRAMは、単一のシリコンチップ上に製作された16メガメモリセル
を含んでおり、各メモリセルが一般に、ミクロンサイズもしくはサブミクロンサ
イズのコンデンサに接続される単一トランジスタを備えている。作動の際、各コ
ンデンサは1ビットの情報を保管するために個々に充電もしくは放電される。充
電されたメモリセルがデータの健全性を維持するために周期的にリフレッシュも
しくは再充電されなければならないという意味で、DRAMは動的(ダイナミッ
ク)であり、さもないと、充電されたメモリセルは漏れにより迅速に放電してし
まう。64メガビット,256メガビット,1ギガビットのDRAM,及びもっ
と大容量で相応してメモリセルがもっと小さいDRAMの製造を容易にするため
、もっと小さなチップスペースに所要の電荷を蓄えることのできるコンデンサ構
造及び材料が必要とされている。
【0004】 最も将来性のある研究方法の一つは高誘電率(HDC)材料の領域にある。高
誘電率材料を含むコンデンサは、理論的に、標準のSiO2−Si3N4−SiO2スタック
のコンデンサよりも大きなキャパシタンス密度を有している。超大規模集積(U
LSI)DRAMに使用するのに関心が高まりつつある高誘電率材料の一つはチ
タン酸バリウムストロンチウムBa[1-X]Sr[X]TiO3(BST)である。BSTを堆
積するため過去に用いられた堆積技術には、RFマグネトロンスパッタリング,
レーザーアブレーション,ゾル−ゲル処理及び金属有機材料の化学気相堆積(C
VD)が含まれる。
【0005】 しかし、BSTのようなHDC材料をコンデンサ構造において商業的に実施す
るには種々の問題が存在する。BSTを使用する現行のコンデンサ製造に伴う問
題の一つは、コンデンサ構造に形成されたBST層を堆積しアニーリングするの
に比較的に高温度が要求されることである。例えば、比較的に高温であるとコン
デンサの下に形成されたトランジスタ素子もしくは電極が劣化することがある。
【0006】 従って、基板に既に形成されたデバイスもしくは素子を実質的に劣化すること
なく、改善されたHDC誘電層を形成するプロセスの必要性が存在する。更に、
下側の電極もしくはデバイスを実質的に劣化することなくコンデンサ構造を形成
する方法の必要性が存在する。
【0007】
【発明の概要】
本発明は、基板上に金属酸化物膜を堆積するためのプロセスに関する。特に、
本発明は、コンデンサ構造を製造するために導電材料上に金属酸化物膜を堆積す
るためのプロセスに関するものである。
【0008】 一実施例において、該プロセスは、基板上に約480℃に等しいか或いはそれ
より低い基板温度でCVD金属酸化物層を堆積させること、及び該金属酸化物を
アニーリングすることを含む。一側面によると、アニーリングすることは、約6
00℃〜900℃の第1基板温度を与えること、該第1基板温度を約0.1秒〜
30分の期間にわたり維持すること、約500℃〜600℃の第2基板温度を与
えること、及び該第2基板温度を少なくとも10分の期間にわたり維持すること
を含んでいる。
【0009】 別の実施例において、プロセスは、第1電極を堆積させること、該第1電極上
に約480℃に等しいか或いはそれより低い基板温度でCVD金属酸化物層を堆
積させること、該酸化物層上に第2電極を堆積させることを含む。一側面による
と、該金属酸化物層は第2電極の堆積前にアニーリングされる。別の側面による
と、該金属酸化物層は第2電極の堆積に続いてアニーリングされる。一側面にお
いて、アニーリングすることは、約600℃〜900℃の第1基板温度を与える
こと、該第1基板温度を約0.1秒〜30分の期間にわたり維持すること、約5
00℃〜600℃の第2基板温度を与えること、及び該第2基板温度を少なくと
も10分の期間にわたり維持することを含む。
【0010】 別の側面によると、本発明は、プラチナボトム電極と、プラチナトップ電極と
、間に配置される誘電層とを備えるコンデンサを提供しており、該コンデンサは
10fA/セル未満の電流漏れを有している。
【0011】 別の実施例において、プロセスは、基板上に約480℃に等しいか或いはそれ
より低い基板温度でCVD金属酸化物層を堆積させること、及び該金属酸化物層
をアニーリングすることを含む。一側面によると、アニーリングすることは、約
500℃〜900℃の第1基板温度を与えること、該第1基板温度を約0.1秒
〜30分の期間にわたり維持すること、及び約500℃〜750℃の第2基板温
度を与えることを含んでいる。
【0012】
【好適な実施例の詳細説明】
金属酸化物堆積チャンバ 本発明の一実施例においては、チタン酸バリウムストロンチウム(BST)が
コンデンサの電極間の誘電材料として使用されている。本発明のプロセスにおい
て使用しうる他の高誘電率(HDC)材料には、酸化タンタル(Ta2O5),ジルコ
ネート・タイタネート(ZrXTiYOZ),チタン酸ストロンチウム(SrTiO3),ジルコ
ン酸チタン酸鉛(PZT),ランタンドープPZT,チタン酸ビスマス(Bi4Ti3O 12 ),チタン酸バリウム(BaTiO3)等が含まれるが、これらに限定されない。
【0013】 本発明のプロセスは、多種多様のCVD設備を用いて実施できるが、以下の記
載は、本発明のプロセスに従って基板上に金属酸化物層を成功裡に堆積するのに
使用できる設備の一例を示している。以下に概略的に記載される設備についての
詳細な説明は、「温度制御式ガスフィードスルー(Temperature Controlled Gas
Feedthrough)」と題する発行済みの米国特許第6,056,823号に提供されて
おり、1997年9月11日に出願された米国特許願08/927,700号の分
割出願であるこの米国特許の全体は、本発明に矛盾しない範囲内で、参照により
この明細書に組み込まれる。
【0014】 図1は、BSTのような金属酸化物を堆積させるのに適応されているチャンバ
の一実施例の断面図である。商業的に入手しうる典型例のチャンバは、BSTギ
ガキャップ(登録商標Gigacap)という型名でカリフォルニア州サンタクララ所在
のアプライド・マテリアルズ・インコーポレイテッド(Applied Materials, Inc.
)から入手しうる。このチャンバは、加熱されるリッド組立体14を支持するチ
ャンバ本体12を備えている。該チャンバ本体12は、内壁22により画定され
た境界面を有する環状の内側処理領域20を画成している。チャンバの内壁22
の近くにチャンバライナー28を配置して、チャンバ内に、容易に清浄及び/又
は交換できる着脱自在の表面を提供することができる。基板支持部材24は、チ
ャンバの底部を貫いて延びると共に、処理領域20の下端を画成している。リッ
ドに装着されたガス分配板26は処理領域20の上側限界を形成している。チャ
ンバ本体12及びリッド組立体14は、アルミニウム,ステンレス鋼或いはそれ
らの組合せのような剛な材料から製作しうる。また、チャンバ本体12は、基板
上に一旦運ばれた堆積蒸気の残りを除去するためのポンピングポートを画成して
いる。
【0015】 基板支持部材24は、抵抗加熱要素(図示せず)が組み合わせられた或いは埋
め込まれたアルミニウムのような金属から形成しうる。代替実施例において、基
板支持部材24は、隣接する電極により放射されるRFエネルギを受けるときに
熱を発生するセラミックブロック・埋込み接地板を備えていてよい。適当な基板
支持部材及び関連のリフトアセンブリは、1997年7月14日に出願された「
自己整列リフト機構(Self Aligning Lift Mechanism)」と題する発行済みの米国
特許第6,120,609号に図示し記載されており、これは、本発明に矛盾しな
い範囲でこの明細書に組み込まれる。典型的な基板支持部材は、CxZ Heaterの型
名でカリフォルニア州サンタクララ所在のアプライド・マテリアルズ・インコー
ポレイテッド(Applied Materials, Inc.)から入手しうる。
【0016】 基板支持部材24は、中央の昇降シャフト30に装着されて上下に可動であり
、基板を、ガス分配板26の近くの堆積位置とチャンバ本体12に貫通形成され
たスリットバルブの下の基板挿入/除去位置との間に移動させる。シャフト30
の進入箇所は、縮むことができるベローズ(図示せず)で封止されている。基板
は、基板支持部材24を貫いて延びる一組4本の通路34内に滑動自在に保持さ
れた一組の昇降ピン32により、基板支持部材24から持ち上げられてロボット
ブレード上に置かれるか、或いはロボットブレードから持ち上げられて基板支持
部材24上に置かれる。各ピン32の直下にある昇降板36は、チャンバ内でピ
ン32を垂直方向に移動させ、基板がロボットブレード(図示せず)から持ち上
げられて離れ、或いはロボットブレード上に置かれるのを可能にする。ロボット
ブレードはスリットバルブ開口(図示せず)を通って移動しチャンバに入る。
【0017】 チャンバ本体12は、リッド組立体14に装着されたガス分配板26中に一種
以上の先駆ガスを運び入れるため、入口42及び出口44を有する加熱ガス送出
フィードスルー40を受ける1つ以上の通路38を画成している。この通路38
は直径の異なる上端及び下端を規定していて、該上端及び下端が出合うところに
肩部58を形成する。ガス出口44は、一種以上のガスをガス分配板26内に運
び入れるための少なくとも1つのガス通路48を含む混合ガスマニホルド46に
流体接続されている。ステンレス鋼製のc−スプリングを備えたテフロン(登録
商標)ポリマーで形成するのが好ましいO−リングシール50がチャンバの頂壁
上で出口44を囲んで配置されていて、ガス送出フィードスルー40及びガスマ
ニホルド46の間に封止接続をもたらしている。
【0018】 図2は、混合ガスマニホルドを仮想線で示すリッドアセンブリの平面図である
。通路38に類似する1つ以上の酸化剤ガス通路52もまた通路38近くでチャ
ンバ本体12に形成されていて、一種以上の酸化剤ガスをチャンバ壁を経て混合
ガスマニホルド46に送るため、所望ならば加熱される酸化剤ガス送出フィード
スルーを受ける。ガス通路54は混合ガスマニホルド46に形成されていて、酸
化剤ガスを、ガス分配板26中への入口ポート近くでガスマニホルドに配置され
た混合ポイント56に配送する。各通路37が酸化剤ガス通路54の一端を蒸発
ガス通路48の一端に接続して、ガス分配板26から上流のガス混合物の混合は
勿論のこと、高速送出を可能にしている。
【0019】 図3は、この金属酸化物堆積チャンバの液体送出システム200を示す概略図
である。液体送出システムは一般に、液体先駆体モジュール202と、溶剤モジ
ュール204と、蒸発器モジュール206とを含んでいる。一実施例において、
液体先駆体モジュール202は、2つの加圧アンプル208,210と、各アン
プルに接続された液体送出ライン212とを含んでいる。複数のバルブが液体送
出ラインの長さに沿って配置されていて、アンプルから混合ポートに行き、次い
で蒸発器に入る液体の流れを制御する。一実施例においては、以下に説明するゼ
ロ死空間バルブ(zero dead volume valve)を使用して、プロセスの安定性及び/
又は反復性に否定的な影響を及ぼすことはいうまでもなく、バルブを損傷しうる
先駆体が内部に集まるのを防止する。該ゼロ死空間バルブは、溶剤を使用する諸
ラインから先駆体を迅速にフラッシュすることを可能にする。溶剤は、保守中に
システムをフラッシュするために液体送出ライン212に供給される。また、パ
ージガスラインが液体送出ラインに接続されていて、アンプル,バルブ及び/又
はLPCを含むシステムが10分乃至30分で保守の準備ができるように、ライ
ンから溶剤を迅速にパージする。バルブ配置は、必要なときに、溶剤を混合ポー
トの上流から液体送出ライン内に導入してバイパスライン218を介してライン
をフラッシュすると共にコールドトラップ及び排気マニホルドを含む回収システ
ムから出すことができるように、設計されている。
【0020】 アンプル208,210は、高圧ポンプに依拠することなく、また、先駆体に
さらされる擦れ部を有する高サイクル機械ポンプなしに、例えば500psiを
超える高圧で液体先駆体を送出するように設計されている。圧力を供給するため
アルゴンのような不活性ガスがライン220を介して約90psiの圧力でアン
プル208,210に充填されている。液体出口ライン222が各アンプル20
8,210に配置さていて、例えばアルゴンである不活性ガスがアンプル208
,210に送り出されると共に適切なバルブが開弁されるときに、液体が適当な
バルブを通り液体出口ライン222から強制的に出て、液体送出ライン220に
入るようになっている。
【0021】 送出ライン212は各アンプル208,210から延びて蒸発器120に接続
されている。第1のゼロ死空間バルブはアンプルの出口に配置されていて送出ラ
イン212への液体の送出を制御する。このバルブは、バイパスライン218及
び液体送出ライン212を接続する三方弁であることが好ましい。次いでバイパ
スライン218はコールドトラップ250及び排気マニホルド(図示せず)に接
続される。高圧ゲージ224及びLPC226は溶剤及びパージガスを取り入れ
るバルブ228の下流に配置されている。LPCは、液体先駆体送出ライン間に
接続された混合ポート230への液体の送出を制御する。圧力を監視するため低
圧ゲージ232が不活性ガスライン232に配置されている。
【0022】 液体先駆体送出ライン212は、蒸発器120の上流から液体先駆体を混合ポ
ート230中に送り入れる。溶剤送出ライン234もまた、溶剤を混合ポート2
30の上流から液体先駆体送出ライン212中に送り入れ、そこで液体先駆体及
び溶剤が混合されて蒸発器120に送り入れられる。蒸発器120では、キャリ
ヤガスライン236がキャリヤガスを吐き出して送出ライン212に入れ、液体
先駆体及び溶剤を毛細管もしくはノズルを介して蒸発器120に運び入れる。ま
た、同心のキャリヤガスライン238はキャリヤガスをノズルもしくは噴射先端
の回りに送り、少量であっても液体が蒸発表面に送られるのを確実にする。混合
ポート230から蒸発器120中への送出ラインは、流体の流動度を妨げるか抑
制することのないテフロン(登録商標)ポリマーのような低摩擦係数の材料で形
成されるのが好ましい。この特徴は少量の液体先駆体の吐出しを助成する。
【0023】 溶剤モジュール204は、液体先駆体アンプルに類似する1つ以上の可充填ア
ンプルを含んでいる。一実施例においては、2つの溶剤アンプル240,242
と2つの液体先駆体アンプル208,210とがある。液体先駆体アンプル24
0,242は、混合ポート230で混合できる2種の別の先駆体を送出するか、
或いは同じ先駆体を一緒にもしくは交互に送出することができる。
【0024】 液体先駆体アンプル240,242はスロット付きの/立体形状の底部を備え
て設計されており、各アンプル240,242において液体を下方に引き込んで
、液体を(1)非常に低いレベルで検出するか、或いは(2)低レベルであって
も各アンプル240,242の外部に排出しうるようになっている。これは、廃
棄するのが好ましくない高価な液体を処理する際に特に重要である。更に、アン
プル240,242は連続処理を行いうるように低レベルであっても各アンプル
240,242における液体の容積を認識する超音波検出器を含んでいる。
【0025】 図4はゼロ死空間バルブの斜視図である。このバルブは、液体先駆体入口25
2,溶剤入口254及び単一の出口256を含んでいる。溶剤は、溶剤制御アク
チュエータ258を介して溶剤入口252を経由し、液体先駆体制御アクチュエ
ータ260に流入するように送られる。プランジャー262は、図5に示すよう
に、溶剤制御アクチュエータ258への溶剤の流入を制御し、結果的に流出を制
御する。液体先駆体は、アクチュエータにあるプランジャー264が開位置にあ
るとき、先駆体入口252を通って先駆体制御アクチュエータ260に流入する
ように送られる。プランジャー262が閉位置にあるとき、先駆体はアクチュエ
ータに入るのを阻止され、プランジャー262により、またバルブを通る溶剤の
流れによりバルブ外に流される。溶剤は、図5に示すようなバルブから溶剤を抜
くことを可能にするため、プランジャー262が開位置にあっても閉位置にあっ
ても先駆体制御アクチュエータ260に入ることができる。プランジャー262
は、アクチュエータへの溶剤の流入を可能にしながら液体先駆体入口を封止する
形状に作られている。連続的な溶剤流は、液体先駆体が遮断されたときにシステ
ムが連続的に溶剤でパージされるのを可能にする。
【0026】 加えて、単一アクチュエータがアンプルの出口に配置されていて、液体先駆体
の吐出しを制御すると共に、アクチュエータにおける詰まりを防止する。また、
蒸発器パネルにある液体流量コントローラの下流側に二方弁を設けるのが好まし
い。
【0027】 送出管は、その内部における摩擦のない流れを促進して同送出管の通路に沿っ
た詰まり及び付着を防止するために、テフロン(登録商標)ポリマーのような材
料から形成するのが好ましい。テフロン(登録商標)ポリマーは、BSTの堆積
に用いられるバリウム,ストロンチウム及びチタン先駆体液体のような材料に対
して優れた導管を提供することが分かった。
【0028】 配管系統もしくはシステムは、通常の保守中に諸ライン及びバルブを迅速にフ
ラッシングするのを可能にするよう設計されている。その上、該系統は、停電の
場合に蒸発器120及び諸送出ラインを通る制御された量の溶剤の自ダイナミッ
クな流れを提供するのは勿論のこと、各バルブを順次閉め切ることを可能にする
のに適応している。この安全特徴は、制御不能の停電中に該系統が閉塞を受けな
いことを確実にする。
【0029】 送出系統もしくはシステムは気泡系統を備えていてよい。アルゴンのようなキ
ャリヤガスは溶剤を泡立たせ、先駆体からの早過ぎる溶剤蒸発を抑制することが
できる。これにより先駆体液体が蒸発器120の途中で確実に乾燥しきらないよ
うにする。
【0030】 元の位置で液体流のコントローラ及び圧電制御弁もまた使用されていて、シス
テムに対して高い制御権を維持しうる。真空マニホルドに設けられた真空ゲージ
は勿論のこと先駆体及び溶剤ラインにある高圧ゲージを使用して化学物質がライ
ンに残っているかどうか測定する。これらのゲージもまたオンボードでの漏れ健
全性測定のために使用される。
【0031】 本発明の一実施例は、液体CVD成分送出システムを含んでいる。液体CVD
成分の2つの加圧アンプルとニードル弁のようなLPCとを有するこのシステム
は滑りシールなしに作動すると共に、250psi未満の圧力で使用することが
できる。2つの溶剤アンプルは、溶剤を処理中に混合ポート中に送り込むことは
勿論のこと、洗浄及び保守のため液体送出ライン中に送り込む。
【0032】 PVD堆積チャンバ コンデンサの電極は、化学気相堆積(CVD)又は物理気相堆積(PVD)に
より堆積させうるプラチナ,ルテニウム,酸化ルテニウム,イリジウム及び/又
は酸化イリジウムのような導電材料から構成しうる。一実施例において、導電材
料はPVDにより堆積される。
【0033】 図5はPVDチャンバの断面図である。商業的に入手しうるチャンバの一例は
、半導体素子もしくはデバイスの製造に使用される導電材料のPVD堆積に適応
した、サンタクララ所在のアプライド・マテリアルズ・インコーポレイテッドか
ら入手しうるエンドラ(Endora)チャンバである。PVDチャンバ301は、通常
、チャンバ包囲体302と、ターゲット304と、基板支持体306と、ガス入
口308と、ガス排気口310とを備えている。チャンバ包囲体302はチャン
バボトム312及びチャンバ側壁314を含んでいる。スリットバルブ315は
1つのチャンバ側壁314に配置されていて、PVDチャンバ301の内外への
基板316の搬送を容易にする。基板支持体306は、チャンバ底部312を貫
く基板支持体リフトアセンブリ318上に配置されている。典型的には、ヒータ
のような温度制御要素(図示せず)が基板支持体306内に組み込まれていて、
処理中の基板316の温度を制御する。基板支持体306はステンレス鋼から形
成することができ、温度制御要素はプラチナ/ロジウムヒータコイルである。基
板支持体リフトアセンブリ318は、基板支持体306を基板搬送位置と基板処
理位置との間に垂直方向に移動させる。リフトピンアセンブリ320は、チャン
バと、チャンバ301の内外に基板316を搬送するのに使用されるロボットブ
レード(図示せず)との間の基板316の搬送を容易にするために、基板316
を持ち上げて基板支持体306から離す。
【0034】 ターゲット304は、チャンバ包囲体302のトップ内に配置される。好まし
いのは、ターゲット304が基板支持体306の直上に配置されることである。
ターゲット304は、通常、スパッタ可能の材料の板324を支持する裏板32
2を含んでいる。電極層のような導電層を形成するのに使用されるターゲット材
料として、銅,チタン,アルミニウム及びその他の金属は勿論のこと、プラチナ
,ルテニウム,イリジウムを含むことができる。また、ターゲット材料は、これ
らの金属の化合物に加えて、反応性スパッタリングのような他のPVD法に使用
されるその他の材料も含みうる。反応性スパッタリングにおいては、スパッタさ
れた材料がプロセスキャビティにあるその他の金属又はガスと反応して堆積層を
形成する。裏板322は、チャンバ包囲体302に取り付けられたフランジ部3
26を含んでいる。O−リングのようなシール328をこの裏板322のフラン
ジ部326とチャンバ包囲体302との間に設けて、処理中のチャンバ内に真空
雰囲気を確保し維持しうる。磁石アセンブリ330は裏板322よりも上方に配
置されていて、ターゲット材料のスパッタリングを強化するためにターゲットス
パッタリング表面に向かうプラズマからイオンを吸着する磁界エンハンスメント
を可能にする。
【0035】 チャンバ包囲体302の内部表面に堆積しないように遮蔽するため、下部遮蔽
材332がチャンバ内に配置されている。この下部遮蔽材332は、チャンバ側
壁314の上方部分から処理位置にある基板支持体306の周縁部へと延びてい
る。クランプリング334が使用されてよく、これは下部遮蔽材332の内側境
界部336に取外し自在に配置される。基板支持体306が処理位置に移動する
ときに、この内側境界部336が基板支持体306を囲み、そして基板316の
周縁部338がクランプリング334の内側境界部333に係合してクランプリ
ング334を持ち上げ下部遮蔽材332の内側境界部336から離す。クランプ
リング334は基板316を締めつけ保持するばかりでなく、堆積プロセスの間
、基板316の周縁部を遮蔽するように機能する。或いは、クランプリング33
4の代わりに、遮蔽カバーリング(図示せず)を下部遮蔽材332の内側境界部
上方に配置されてもよい。基板支持体306が移動して処理位置に入ると、クラ
ンプリング334の内側境界部が基板316の周辺部の直上に位置付けられて、
基板316の周辺部を堆積から遮断する。
【0036】 上部遮蔽材340は下部遮蔽材332の上方部分内に配置されていて、チャン
バ側壁314の上方部分からクランプリング334の周辺部へと延びている。こ
の上部遮蔽材340は、ターゲット304を構成する材料と同様の材料から構成
してよい。該上部遮蔽材340は、接地式の上部遮蔽材と比較してプラズマのイ
オン化を高めることが可能の浮動接地式上部遮蔽材としうる。プラズマの高いイ
オン化はより多くのイオンをターゲット304に衝突させるので、ターゲット3
04からのスパッタリングが増大して堆積速度が大きくなる。或いは、上部遮蔽
材340は堆積プロセスの間、接地しておくことができる。
【0037】 ターゲット304と上部遮蔽材340との間でチャンバ包囲体302の頂部に
配置されたガス入口308は、プロセスガスをプロセスキャビティ346中に導
入する。プロセスキャビティ346は、ターゲット304と、処理位置にある基
板支持体306上に置かれた基板316と、上部遮蔽材340とにより画成され
る。典型的には、アルゴンがプラズマのプロセスガス源としてガス入口308か
ら導入される。ガス排気口310は、堆積プロセスの前にチャンバを排気するだ
けでなく、堆積プロセス中のチャンバ圧力を制御するために、チャンバ側壁31
4に配置されている。一実施例において、ガス排気口310は排気弁356と排
気ポンプ358とを含んでいる。排気弁356はチャンバ301の内部と排気ポ
ンプ358との間の通過を制御する。
【0038】 ターゲット304にバイアスを与えるために、電源352がターゲット304
に電気的に結合されている。この電源352は、RF発生器と、ターゲット30
4に接続されるRF整合ネットワークとを含んでいてよい。電源352はプロセ
スキャビティにエネルギを供給して、堆積プロセス中にプロセスキャビティにあ
るプロセスガスのプラズマに当て維持する。
【0039】 ガス排気口310は、チャンバ側壁314に配置されていて、堆積プロセスの
前にチャンバ301のガスを抜くことは勿論のこと、堆積プロセスの間、チャン
バ圧力を制御する。ガス排気口310は、排気弁356と排気ポンプ358とを
含んでいる。排気弁356はチャンバ301の内部と排気ポンプ358との間の
通過を制御する。排気ポンプ358は、チャンバ301のポンプ停止時間を最小
にするためクライオポンプもしくは低温ポンプと関連するターボ分子ポンプから
構成しうる。代替手段として、排気ポンプ358は、低圧ポンプ、高圧ポンプ又
は低圧及び高圧ポンプの組合せを含んでいる。
【0040】 コンデンサの電極を形成するために、カリフォルニア州サンタクララ所在のア
プライド・マテリアルズ・インコーポレイテッドから入手しうる登録商標アイ・
エム・ピー・ベクトラ(IMP Vectra)チャンバを使用するIMP−PMDのような
他の形式のスパッタリングを利用することができる。IMPチャンバは、バイア
スを発生するために基板に接続された電源と、ターゲット及び基板の間に配置さ
れるコイルとを追加的に含んでおり、このコイルは第3の電源に接続されている
。コイルはプラズマを濃縮するために使用され、バイアスされた基板は、スパッ
タされた粒子を基板面に対して実質的に垂直方向に吸着するために使用されてい
る。
【0041】 金属酸化物堆積 蒸気化した先駆体からのBST層の堆積のための経済的な低温CVD法は以下
に記載されている。BST層のような金属酸化物層のCVD堆積の更なる詳細は
、「低温CVD BST堆積(Low Temperature CVD BST Deposition)」と題する2
000年6月29日出願の係属中の米国特許願に見ることができ、本発明のプロ
セスと矛盾しないその全体は参照によりこの明細書に組み込まれる。本発明のプ
ロセスで使用されうるその他の金属酸化物には、酸化タンタル(Ta2O5),ジルコ
ネート・タイタネート(ZrXTiYOZ),チタン酸ストロンチウム(SrTiO3),ジルコ
ン酸チタン酸鉛(PZT),ランタンドープPZT,チタン酸ビスマス(Bi4Ti3O 12 ),チタン酸バリウム(BaTiO3)等が含まれるが、これらに限定されない。
【0042】 BSTプロセスは、3成分の蒸気化した液体先駆体を酸素,N2O,O3又はそれ
らの化合物のような酸化ガスと、先駆体の蒸気化温度を超えかつ480℃未満又
は約480℃の温度より下の温度で反応させる。400〜480℃の温度範囲は
、成分先駆体の熱分解温度より上であり、堆積反応がダイナミックに制御される
と言われている温度、即ち層形成の速度が基板の表面での反応により制限される
温度である。この温度範囲下で、表面に広がる原材料は分解前に大きな領域にわ
たり広がって、下にある基板の表面がトレンチもしくはバイアのような不規則特
徴を備えていても、スムーズな表面を有するもっと均一でコンフォーマルな層を
形成する。
【0043】 一実施例において、成分先駆体を蒸発器からチャンバに運ぶ送出ラインは、混
合物における3成分先駆体の好適温度の平均に相当する温度に維持される。各成
分先駆体の好適温度は、その成分先駆体の凝縮及び分解温度により画定される窓
領域の範囲内にある。堆積プロセスにおいて使用する先駆体蒸気組成は、所定の
質量もしくはモル割合で組み合わされた気化液体先駆体の混合比である。単一先
駆体源又は2種以上の先駆体源が使用できる。
【0044】 BSTの堆積に使用のため、第1の液体先駆体源は、テトラヒドロフラン(T
HF)のような適当な溶剤中のBa及びSrポリアミン化合物の混合物でよい。
ここに記載した方法で使用されるバリウム先駆体の例は、通常Ba(tmhd)2として
知られるビス(テトラメチルヘプタンジオネート(tetra methyl heptanedionato
))バリウム、通常Ba PMDET(tmhd)2として知られるビス(テトラメチルヘプタン
ジオネート)バリウムペンタメチルジエチレントリアミン、通常Ba(tmhd)2テト
ラグライム(tetraglyme)として知られるビス(テトラメチルヘプタンジオネート
)バリウムテトラグライム、及びそれらの組合せを含んでいる。ここに記載した
方法で使用されるストロンチウム先駆体の例は、通常Sr(tmhd)2として知られる
ビス(テトラメチルヘプタンジオネート)ストロンチウム、通常Sr PMDET(tmhd)2
として知られるビス(テトラメチルヘプタンジオネート)ストロンチウムペンタ
メチルジエチレントリアミン、通常Sr(tmhd)2テトラグライムとして知られるビ
ス(テトラメチルヘプタンジオネート)ストロンチウムテトラグライム、及びそ
れらの組合せを含んでいる。ペンタメチルジエチレントリアミン(PMDET)のよう
な付加物のない例えばビス(テトラメチルヘプタンジオネート)バリウム及びビ
ス(テトラメチルヘプタンジオネート)ストロンチウムのような先駆体は、この
明細書に記載した堆積方法で好適に使用される。一実施例として、混合物には、
Ba PMDET(tmhd)2及びSr(tmhd)2を組み合わせること、Ba PMDET(tmhd)2及びSr P
MDET(tmhd)2を組み合わせること、或いは代替例として、通常Ba(tmhd)2テトラ
グライム及びSr(tmhd)2テトラグライムが含まれる。バリウム及びストロンチウ
ム先駆体間の好適なモル比(Ba:Sr)は約1;1と約2:1の間にある。
【0045】 第2の液体先駆体源は、通常Ti(I-Pr-O)(tmhd)2として知られるビス(テトラ
メチルヘプタンジオネート)ビスイソプロパミドチタン、或いはテトラヒドロフ
ラン(THF)のような適当な溶剤中のTi(tBuO)2(tmhd)2のようなその他のチタン
金属有機源であるのが好ましいチタン先駆体である。別の先駆体組はBa,Sr及び
Ti材料を使用し、Ba及びSr先駆体についてメトキシエトキシtmhd(methoxyet
hoxy tmhd)の配位子(ligands)を有し、Ti先駆体についてメチルペンタンジオ
ール(methyl pentanediol)及びtmhdが使用される。
【0046】 一実施例として、BST層の堆積のための先駆体の溶液は、ビス(テトラメチ
ルヘプタンジオネート)バリウム(Ba(tmhd)2),ビス(テトラメチルヘプタン
ジオネート)ストロンチウムSr(tmhd)2及びビス(テトラメチルヘプタンジオネ
ート)ビスイソプロパミドチタン(Ti(I-Pr-O)(tmhd)2)を含んでいる。混合さ
れた先駆体の割合を変えることにより、堆積BST層の組成を制御する際に融通
性が与えられる。液体先駆体における混合金属間のモル比は、バリウム:ストロ
ンチウム:チタン(Ba:Sr:Ti)で約1:1:3.8(即ち、約2.5モル%:約
2.5モル%:約9.5モル%)と約1:1:4.7(約15モル%:約15モ
ル%:約70モル%)との間であるのが好ましい。モル比は、溶剤における全溶
解度の制限及び層組成の要求に基づいて変更しうる。バリウム,ストロンチウム
及びチタン先駆体は、チャンバへの約100sccm〜約400sccmの流量を有する
アルゴンのような不活性キャリヤガスを用いて蒸気化するのが好ましく、これは
この明細書ではAr−Aと呼ぶ。
【0047】 プロセスは基板の温度変化に敏感であってよい。約480℃かそれを下回る基
板温度は制御可能の層組成を有する均一な層が堆積される結果となることが分か
った。一実施例において、コンフォーマルなBST層が約470℃〜約480℃
の間の温度で堆積され、工業的に受け入れられる堆積速度で一定した層組成を提
供する。その上、温度に対するBa,Sr,Ti先駆体の堆積速度の感受性は約480
℃の温度或いは約480℃未満の温度でほぼ同じである。この特性により、約4
80℃の温度或いは約480℃未満の温度でのBST層の温度感受性を減少させ
ると共に、堆積材料の堆積をより均一とし、また組成の一貫性を増すことが可能
になる。一般に、BST層の堆積中におけるヒータ及び基板の間のスペースにお
ける熱の放散のため、ヒータは、所望の基板温度よりも高い約30℃〜約100
℃の間の温度に維持する。
【0048】 気相反応を避けるためチャンバ内の圧力を約2トル〜約8トルの間に維持する
ことによって、ここに記載されたプロセスは、優れた物理的特性を有するBST
層の堆積を可能とすることが分かった。一実施例において、チャンバ圧力は堆積
プロセスの間、約2トル〜約4トルの間に維持される。別の実施例において、約
4トルの圧力を使用し気相反応を回避した。気相反応を回避することにより、基
板の表面に達する原材料の量が増すことで堆積層の組成の制御強化を達成するこ
とができる。
【0049】 上述した処理条件を使用した堆積されたBST層は、以下の実施例において更
に説明されるが、約480℃の温度或いは約480℃未満の温度及び約2トル〜
約8トルの間の圧力で、約50モル%〜約53モル%の間のチタンモル分率を有
する酸化層を生成することが分かった。また、BST層は、約15モル%〜約3
3モル%のバリウムと、約15モル%〜約33モル%のストロンチウムとを含ん
でいる。BST層は、バリウム及びストロンチウム先駆体が約1:1のBa:Srモ
ル比を有するときに、約24モル%のバリウム及び約24モル%のストロンチウ
ムを含むことが分かった。
【0050】 堆積BST層の化学的及び物理的特性も一種以上の酸化剤を選択的に供給する
ことにより或いは酸化剤の流量を変更することにより制御することができる。こ
こに記載のプロセスはO2,N2O及びO3のような広範囲の酸化剤と共に使用するの
に適しているが、該プロセスは、主要なもしくは唯一つの酸化剤としてO2が使用
される場合に高キャパシタンスを有するBST層の堆積を可能にすることが分か
った。酸化ガス流量は約300sccm〜約3000sccmの間でよい。
【0051】 本発明は、先駆体もしくは溶剤を蒸発器に運ぶ一次ガス流の通路と同心の通路
を有する、好ましくは第2アルゴン流である第2キャリヤガス流を設けている。
アルゴンB流と呼ばれるこの第2アルゴン流は、蒸発器の上流からのアルゴンA
(Ar−A)流と呼ばれる第1キャリヤガス流の通路の縁部で凝縮する液滴を捕捉
することにより、蒸発器から下流のガス流における液体の減少又は完全排除を可
能にする。好ましくはアルゴンの二次ガス流は、約200sccm〜約1000sccm
の流量を有することが好ましく、ここではアルゴンB(Ar−B)と呼ばれる。蒸
気化した先駆体は次いでBST層の堆積のためCVDリアクタに向けられる。先
駆体の蒸気化を安定させることにより、先駆体のより効率的な使用が可能となる
と共に、チャンバ構成要素上への材料堆積を減少させるので、堆積リアクタの繰
返し保守の必要性を最小にする。
【0052】 本発明の別の側面によると、BST層を堆積するため基板からのヒータスペー
スを設けている。このヒータスペースは、層を堆積するために先駆体が分解でき
る温度を確保し維持することを考慮しており、これにより、高分解温度即ち狭い
ヒータスペースが堆積速度の上昇を促進するように堆積速度に影響を与える。ヒ
ータスペースは、200mmの基板上に各先駆体からBST層を堆積させるため
、約18ミリメートル(mm)に等しいかそれ未満の間隔を有するのが好ましく
、これは、1inの約700/1000(mil)に等しいかそれ未満の好適な間隔に
対応している。一実施例において、ヒータスペースは約7mm(約300mil)と
約18mm(700mil)との間にある。
【0053】 BST膜の堆積のためのプロセス方式の実施例は、約500sccmの酸素又は亜
酸化窒素の酸化ガス流量と共に約130sccmの流量でのアルゴンキャリヤガス(
Ar−A)中と、約230sccmの流量でのアルゴンの二次ガス中においてBST
層を堆積するため、Ba(tmhd)2,Sr(tmhd)2及びTi(I-Pr-O)(tmhd)2先駆体の溶液
を提供している。プロセスガスは、約4トルの圧力及び約470℃〜約480℃
の基板温度に保持された処理チャンバに導入される。堆積BST層は、約50モ
ル%〜約53モル%の間のチタンを含んでいる。ヒータは約14mm離れて置か
れている。上述した処理方式で層を約20オングストローム/分〜約100オン
グストローム/分の速度で堆積させる。一実施例において、上述した処理方式に
より約40オングストローム/分〜約50オングストローム/分の堆積速度を生
じさせた。
【0054】 図7は、本発明の一実施例の200mm基板プロセスにおけるヒータ温度に対
する堆積BST層の堆積速度及びチタン密度のグラフである。ヒータ温度の上昇
は先駆体の実質的な分解もしくは劣化なしに堆積速度の増加をもたらす。また、
ヒータ温度の上昇は、堆積層におけるチタン濃度もしくは密度(モル%)も増大
させる。ヒータ温度は、実施例中で約50モル%〜約53モル%のチタン密度を
生ずるために約500℃から約510℃まで変えることができる。
【0055】 第1先駆体は、THF溶剤アセテートにおけるBa(tmhd)2,Sr(tmhd)2及びTi(I
-Pr-O)(tmhd)2の混合物であり、そのBa:Sr:Tiのモル比は1:1:3である。
プラチナ層は先駆体に露出される前に基板上に堆積させた。約120mg/mの先駆
体の総液体流量及び約2000sccmのプロセスガス流量を用いて、約470℃〜
約480℃の基板温度を与える約500℃〜約510℃のヒータ温度で約45オ
ングストローム/分〜約48オングストローム/分の堆積速度が達成された。本
発明による蒸発器も使用され、先駆体についての蒸発器ラインは約240℃に維
持した。
【0056】 図6により示されているように、堆積速度は、約480℃のヒータ温度が約1
℃上昇するごとに平均で約0.45オングストローム/分の上昇を示し、堆積速
度は温度に対して強い感受性を有することを示している。堆積BST層における
チタン密度(モル%)は、約480℃のヒータ温度が約1℃上昇するごとに平均
で約0.36オングストローム/分上昇し、チタン密度は温度に対して強い感受
性を有することを示している。
【0057】 上述した堆積パラメータで堆積されたBST膜は、基板の内部に、また基板毎
に良好な均一性をもつ高品質の層を提供することができる。約500℃〜約51
0℃のヒータ温度は約470℃〜約480℃の基板温度をもたらし、約45オン
グストローム/分の堆積速度をもたらした。
【0058】 図7は、図6について説明したCVD BSTプロセスにおけるBST先駆体
の全質量流量に対するTiの配合もしくは組成感受性及び堆積速度のグラフである
。Tiの密度(モル%)は、ミリグラム/分(mgm)の全BST流量に対して書き込
まれている。堆積層のチタン密度はBST流量の全範囲にわたり実質的に変化し
ていない。この特性は、層の密度が質量流量に対して敏感ではないことを示して
おり、従って、堆積プロセスは動力学的に制御されるが気相反応により制御され
ないことを確認している。更に、堆積速度は総BST流量と共に上昇し、従って
、層形成の速度は、基板の表面での使用可能材料の反応により制限されることを
示している。
【0059】 加熱された基板ホルダに装着された200mm基板に関するCVD BSTプ
ロセスの一例について以下に記載する。堆積チャンバは、約2トル〜約8トルの
圧力、好ましくは約2トル〜約4トルの圧力に保持される。基板は、約480℃
に等しいかそれ未満の温度、好ましくは約470℃〜約480℃の温度に保持さ
れる。不活性キャリヤガス、好ましくはアルゴンガス(Ar−A)中に懸濁した
Ba(tmhd)2,Sr(tmhd)2及びTi(I-Pr-O)(tmhd)2の溶液を含む蒸気化液体先駆体は
、約500sccmの流量で、或いは約60mg/m〜約120mg/mで処理チャンバに導
入される。Ba PMDET(tmhd)2及びSr PMDET(tmhd)2溶液は約1:1〜約2:1の
Ba:Srモル比でテトラヒドロフラン(THF)のような溶剤中に先駆体を置
くことにより形成される。
【0060】 アルゴンキャリヤガスは約100sccm〜約3000sccmの流量を有する。一実
施例において、アルゴンキャリヤガスは約400sccm〜約800sccmの流量を有
する。約100sccm〜約3000sccmの流量を有する酸素のような酸化ガスが処
理チャンバ内に導入されて蒸気化した先駆体と反応し、BST層を堆積させる。
堆積プロセス中、約300sccm〜約800sccmの酸化ガス流量を使用するのが好
ましい。アルゴンの二次キャリヤガスは、BST層の効果的堆積のため液体先駆
体の十分な気化を確実にするよう約100sccm〜約3000sccmの流量で供給さ
れる。約400sccm〜約800sccmの流量のアルゴンの二次キャリヤガスを使用
するのが好ましい。ヒータ/シャワーヘッドと基板との間の間隔は約7mm(約
300ミル)〜約18mm(約700ミル)でよい。一実施例によると、ヒータ
/シャワーヘッドと基板との間の間隔は約14mmである。誘電層622は約5
0オングストローム〜約500オングストロームの厚さまで堆積させうる。80
オングストローム未満、好ましくは50オングストローム未満の誘電層の厚さは
アニーリング中の結晶化を容易にする。
【0061】 金属酸化物アニール BST層のような金属酸化物層は、その誘電率を上げるためにアニーリングす
ることができ、その結果、コンデンサが大きなキャパシタンス及びキャパシタン
ス密度を有することになる。BST層のアニーリングは、堆積HDC材料の結晶
化度を増すことにより誘電率を上げると考えられる。一実施例において、アニー
リングのプロセスは窒素又はアルゴンのような不活性ガス中で行われうる。別の
実施例において、アニーリングは酸化雰囲気において行われる。BST層は、カ
リフォルニア州サンタクララ所在のアプライド・マテリアルズ・インコーポレイ
テッドから入手しうるRTP XEplus Centura(登録商標)のような、別のチャンバ
でアニーリングするのが好ましい。
【0062】 アニール温度及びアニール時間は、アニーリングする前に形成しうるコンデン
サ構造の及びトランジスタの感温性素子により制限されると考えられる。プラチ
ナ電極は600℃の温度で比較的に安定しているが、600℃を超えると劣化す
ることが分かった。本発明のプロセスは600℃よりも高い温度でBST層のア
ニーリングを行うが、600℃を超える温度でのアニーリングの持続時間は短い
ので、コンデンサ又はトランジスタ素子の損傷もしくは劣化を実質的に防止する
のに十分である。
【0063】 一実施例において、アニールプロセスは、約600℃〜約900℃の第1基板
温度を提供すること、この第1基板温度を約0.1秒〜30分の期間にわたり維
持すること、約500℃〜約600℃の第2基板温度を提供すること、この第2
基板温度を少なくとも10分の期間にわたり維持することを含んでいる。第1基
板温度でのアニーリングは、コンデンサ又はトランジスタ素子を損傷することな
くBST層に種子結晶を形成する短い期間の間維持される。第2基板温度でのア
ニーリングは、BST層の結晶化を容易にするのに十分な高い温度にまだある間
にコンデンサ又はトランジスタ素子を大きく損傷させない。一実施例において、
第1基板温度は600℃〜700℃でよく、約10秒〜約10分の期間にわたり
維持される。別の実施例において、第1基板温度は700℃〜900℃でよく、
約0.1秒〜約1分,好ましくは約0.1秒〜約5秒の期間にわたり維持される。
【0064】 第1温度が高くなればなるほど、種子結晶を形成するために短い持続期間が必
要である。例えば、一般に、600℃の第1温度では、第1期間は約15分〜約
30分である。一般に、700℃の第1温度では、第1期間は約5秒〜約2分で
ある。一般に、800℃の第1温度では、第1期間は約0.2秒〜約10秒であ
る。一般に、900℃の第1温度では、第1期間は0.1秒未満である。例えば
、第2アニールは少なくとも5分にわたり約500℃〜約600℃の温度で行わ
れうる。一実施例において、第1基板温度は、温度を約100℃/秒〜約300
℃/秒の割合で上昇させることにより与えられる。別の実施例において、第2基
板温度は、温度を約50℃/秒〜約150℃/秒の割合で減少させることにより
与えられる。
【0065】 一実施例では接着層はボトム電極の堆積前に特徴(feature)に堆積される。接
着層の一例は、PVDにより堆積されるTi,TiAIN,TiSiN,又はTaSiN層である
。一実施例では接着層は約10オングストローム〜50オングストロームの厚さ
に堆積される。この接着層は室温で空気に露出することによる部分酸化を受ける
ことができる。接着層は、ボトムコンタクトプラグ(bottom contact plug)とし
て使用することができ、ボトム電極の導電材料及びトランジスタのポリシリコン
材料からの層間拡散を防止する。
【0066】 電極堆積 このプロセスの電極は、プラチナ,ルテニウム,酸化ルテニウム,イリジウム
及び/又は酸化イリジウムを含んでいてよい。電極材料はPVDにより堆積させ
るのが好ましい。一実施例において、電極はプラチナから構成されており、電極
間の誘電材料はBSTから構成されている。別の実施例において、電極材料はイ
リジウム又は酸化イリジウムであり、電極間の誘電材料はジルコン酸チタン酸鉛
である。別の実施例において、ボトム電極材料はイリジウムを含み、トップ電極
材料は酸化イリジウムを含み、電極間の誘電材料はPZTを含む。
【0067】 プラチナ材料をスパッタ堆積するためのプロセス方式の例は、アルゴン又はヘ
リウムのような不活性ガスを、約2mトル〜約25mトルのチャンバ圧力を生ず
るのに十分な割合で処理チャンバに導入することを含んでいる。電源352は1
3in直径のプラチナターゲットをスパッタするための約500W〜約1000
Wの電力レベルを供給する。イオンは相対的に負にバイアスされたターゲット3
04を衝撃して、該ターゲット304からプラチナの粒子を除去する。スパッタ
された粒子の幾分かは基板316に向けられ、そこに堆積される。基板316は
約300℃〜約500℃の温度に保持される。チャンバは、堆積された電極材料
の表面移動を促進するために、低いバックグラウンド水蒸気,水素又は酸素を有
して作動される。一実施例において、2:1より大きく、好ましくは4:1より
大きいアスペクト比を有する0.15μmの特徴(feature)について約50オング
ストローム〜約500オングストロームの厚さまでプラチナが基板上に堆積され
る。
【0068】 一実施例では、マツシタ・エレクトリック社(Matsushita Electric Company)
により開発された方法に従ってボトム電極が安定化される。ボトム電極は、水素
又は下流のプラズマアンモニア(NH3)のような還元雰囲気中で約400℃〜約5
00℃の温度で約5〜60分の期間にわたりアニーリングされる。続いて400
℃〜600℃の間の温度での酸化アニーリングが約5〜60分の期間にわたり行
われる。酸化アニーリングは、BSTのような金属酸化物材料のCVD堆積の酸
化環境の酸化環境を刺激するために行われる。電子走査顕微鏡写真の示すところ
によると、水素アニーリングなしに酸化アニーリングを行う従前の方法は、薄い
電極材料の凝集を生じさせることによりボトム電極に欠陥をもたらすことが分か
った。例えば、500℃の温度で5%酸素環境においてアニーリングした0.1
5μmのコップ状コンデンサにおけるプラチナのボトム電極は、凝集し欠陥をも
つことが分かった。約400℃〜約500℃の温度で水素環境において最初にア
ニーリングし、次いで500℃の温度で5%酸素環境においてアニーリングした
0.15μmのコップ状コンデンサにおけるプラチナのボトム電極は、良好でコ
ンフォーマルな有効範囲をもつことが分かった。水素がその後の酸化アニーリン
グのための安定な形態(morphology)をボトム電子に与えると考えられる。下記の
文献は電極の安定化に関係しており、その全体はこの明細書に組み込まれる。日
本:1999−155043;日本:2000−265284。
【0069】 コンデンサ製造 図8a〜図8fは、3Dのコップ状構造を有するコンデンサを製造する一実施
例の概略断面図である。図8aは、トランジスタ(図示せず)へのコンタクト4
20を有するシリコン基板410を示している。プロセスの特定化学現象や希望
される最終製品に左右されるが、GaAs,InP,Si/Ge,SiC及びセラミックのよう
な他の半導体を含め、その他の材料を使用してもよい。次に、図8bに示すよう
に、二酸化ケイ素のような誘電材料440が基板410を覆って堆積され、パタ
ーン化され、エッチングされ特徴435を形成する。しかる後、図8cに示すよ
うに、プラチナボトム電極のようなボトム電極450が特徴435を覆って堆積
される。次に、図8dに示すように、ボトム電極450はプラズマエッチング或
いは化学機械研磨のような方法によりパターン化される。その後、図8eに示す
ように、BSTのような誘電材料460が約480℃に等しいかそれ未満の温度
でボトム電極450を覆って堆積される。プラチナトップ電極のようなトップ電
極470が誘電材料460を覆って堆積される。第2電極470は第1電極45
0と同じ材料から構成するのが好ましい。その後、図8fに示すように、トップ
電極470はエッチングされ、誘電材料480がトップ電極470を覆って堆積
されコンデンサ430を形成する。基板は次いで、平坦化により、及び/又は後
からのメタライゼーションのため誘電材料及び導電材料のような材料の堆積によ
り、更に処理されうる。
【0070】 一実施例において、プラチナボトム電極の化学機械研磨によりボトム電極45
0に描くことがドライエッチングPt電極の問題を回避するために好ましい。電
子走査顕微鏡写真により、プラチナボトム電極がCMPエッチバック(etch back
)により描かれうることが確認される。別の実施例において、ボトム電極450
は、電極堆積について記載した段落で上述したような誘電材料460の堆積前に
水素アニーリングされる。
【0071】 別の実施例において、誘電材料460は、金属酸化物アニーリングについて記
載した段落で上述したようなトップ電極470の堆積前にアニーリングされる。
更に別の実施例において、誘電材料460は、金属酸化物アニーリングについて
記載した段落で上述したようなトップ電極470の堆積に続いてアニーリングさ
れる。誘電材料460及びトップ電極470のアニーリングは、誘電材料460
とトップ電極との間のインターフェース及び接着の改善を可能にするものと考え
られる。インターフェース及び接着の改善は、層のキャパシタンス及びキャパシ
タンス密度を含む電気的特性の改善を可能にする。別の実施例によると、誘電材
料460及びトップ電極470を酸化環境においてアニーリングしうる。酸化環
境におけるアニーリングは、トップ電極470の堆積中に或いは不活性ガスアニ
ーリング中に現われることがある、誘電層460として使用される金属酸化物層
における酸素−酸素空格子点サイト(vacancy sites)を拡散させる。
【0072】 480℃に等しいかそれ未満の温度でのBSTの低温度CVD堆積と、供給の
ために低熱収支のアニーリングプロセスとを使用して製造されたBSTコンデン
サの特性を3D構造及びプレーナ構造に関して比較した。Ba(THD)2,Sr(THD)2
Ti(THD)2(O-i-Pr)2のBST CVD先駆体を商業的に入手可能なCVD BST
リアクタ内で酸素と熱反応させた。プラチナトップ電極は室温でシャドウマスク
/スパッタリングにより規定し、トップ電極の堆積前後にアニーリングが続いた
。200mmウェーハ上に300オングストロームのBST膜を含むプレーナコ
ンデンサは、ウェーハの縁部箇所を除いて、ホールド時間1.0秒の間緩やかな
傾斜率下で+1Vで測定した約1×10-8A/cm2の漏れ電流密度を示し、縁
部箇所ではもっと大きな漏れ電流密度を有していた。キャパシタンス密度は70
0℃のアニーリング後、60fF/μm2(teff ox=6オングストローム)の範
囲にあった。
【0073】 図9は3Dコップ状構造断面の電子走査顕微鏡写真である(ステップCMPエ
ッチバックはない)。3D形状もしくは3Dトポグラフィーについての低温度B
ST膜の特性を研究するために、深さ0.3μmの0.15μm×0.45μmホ
ールを有するパターンウェーハにプラチナをスパッタリングした。プラチナボト
ム電極450,BST層460及びプラチナトップ電極470を見ることができ
る。低温度BST膜は高い整合度(conformity)を示し、3D構造に注目すべきよ
うな厚さ変化はない。従って、本発明のプロセスは、外形で0.1μmの大きさ
を有すると共に、2:1もしくはもっと大きいアスペクト比を有し、4:1もし
くはもっと大きいアスペクト比すら有するエンベデッド(embedded)BSTコンデ
ンサの形成を実証している。3DパターンのBST膜の電気的特性については、
IV曲線が図10に示されている。+1Vでの漏れ電流密度は256k相当の面
積全体に平均して1fA/セルほどの低さであり、約10倍のマージンをもつ所
要レベルを実現する。28fF/μm2(teff ox=13オングストローム)又は
12fF/セルのキャパシタンス密度が480℃未満でのアニーリング後に実現
された。3D構造のハイライト部分のキャパシタンス密度は、CVDBSTプロ
セス条件が同じであるとしてもプレーナシャドーマスクデバイス(planar shadow
mask device)の2倍小さい。1Vで<10fA/セルの仕様に合致する低漏れ電
流は、漏れ電流を犠牲にすることにより、例えば、BST層の厚さを減らすか、
アニーリング温度を上げるか、或いはBSTの3D特性制御を向上させることに
より、キャパシタンス密度をほぼ12fF/セルまで改善する余裕があることを
示唆している。
【0074】 低いスタック高さを有する低熱収支コンデンサプロセスを実現するために、B
ST(チタン酸バリウムストロンチウム)コンデンサプロセス及び構造が研究さ
れてきた。以前の作業においては、所要のBSTキャパシタンス密度及び電荷漏
れを得るために、比較的に高温度のアニーリングを採用する必要があった。しか
し、3D構造に対しては、高温度のアニーリングは、高品質インターフェースの
利点を提供するプラチナ電極を不安定もしくは弱体化する傾向がある。従って、
本発明のプロセスは、均一組成及び高結晶化度を有するコンフォーマルで平滑な
BST層を堆積させることが可能である。更に、本発明のプロセスは、高キャパ
シタンスを有すると共に漏れ電流の減少したコップ型BSTコップコンデンサを
製造することが可能である。
【0075】 本発明者は、説明のため図11に示したDRAM設計に加えて種々のDRAM
設計においても本発明によるトレンチコンデンサを、適用することを企図してい
る。図11は、本発明の方法を使用して形成されたトレンチコンデンサを有する
DRAMデバイスの別の実施例の断面図である。このDRAMデバイス610は
シリコン基板上に形成されており、一般に、アクセストランジスタ612とトレ
ンチコンデンサ618とを備えている。DRAMデバイス610のアクセストラ
ンジスタ612はトレンチコンデンサ618の頂部近くに配置されている。アク
セストランジスタ612は、ソース領域615と、ゲート領域614と、ドレイ
ン領域616とを有するNMOSトランジスタであることが好ましい。ゲート領
域614はP+基板を覆って配置されたP−ドープトシリコン層を含んでいる。
アクセストランジスタ612のソース領域615は、ゲート領域614の第1側
に配置されたN+ドープト材料を含み、ドレイン領域616は、ソース領域61
5とは反対の、ゲート領域614の第2側に配置されたN+ドープト材料を含ん
でいる。ソース領域615はトレンチコンデンサの電極に接続されている。トレ
ンチコンデンサ618は、一般に、第1電極と、第2電極と、それらの間に配置
される誘電材料とを含んでいる。N+ポリ(poly)はコップ型コンデンサ618の
第1電極に対するコンタクトとして機能すると共に、アース接続されている。ト
レンチ623はP+基板619に形成されると共に、該トレンチにトレンチコン
デンサ618の第2電極として機能する高ドープのN+ポリシリコン621が充
填されている。誘電材料622はP+基板619とN+ポリシリコン621との
間に配置されている。導電材料はP+基板619とN+ポリシリコン621との
間にあるトレンチ623の内側に堆積されて、誘電材料622を包囲し、DRA
M構造の第1及び第2電極を形成する。導電材料は一般に第1電極624,第2
電極625として堆積される。
【0076】 本発明のプロセスはウェーハのサイクル時間を最小にするため単一真空ロード
ロック基板分配装置(vacuum load locked substrate distribution apparatus)
に組み合わせられたチャンバで行いうる。或いは、冗長処理チャンバの必要性や
、所定の半導体デバイス製造設備を通るプロセス流のスループットバランスの必
要性に応じて、複数のロードロック基板取扱装置を採用しうる。
【0077】 上述の説明は本発明の好適な実施例に向けられているが、本発明のその他の及
び更なる実施例をその基本的範囲から逸脱することなく案出可能であり、本発明
の範囲は冒頭の特許請求の範囲から決定される。
【0078】 この解決策は、結晶化のためにコンデンサに高温アニーリングの作用を受けさ
せるという利点を有するが、電極の安定性を達成するという問題を提起している
。Ptの熱安定性を更に改善するため、5〜10%のIrを添加するのが有利で
ある。
【図面の簡単な説明】
【図1】 金属酸化物層を堆積させるためのチャンバの断面図である。
【図2】 図1のチャンバ用のリッドの上面図である。
【図3】 液体送出システムの概略図である。
【図4】 ゼロ死空間バルブの斜視図である。
【図5】 PVDチャンバの断面図である。
【図6】 好適なCVD BST 200mmプロセスの特徴を示すグラフ表示である。
【図7】 好適なCVD BST 200mmプロセスの特徴を示すグラフ表示である。
【図8a】 3Dコップ型構造を有するコンデンサを製造する一実施例の略断面図である。
【図8b】 3Dコップ型構造を有するコンデンサを製造する一実施例の略断面図である。
【図8c】 3Dコップ型構造を有するコンデンサを製造する一実施例の略断面図である。
【図8d】 3Dコップ型構造を有するコンデンサを製造する一実施例の略断面図である。
【図8e】 3Dコップ型構造を有するコンデンサを製造する一実施例の略断面図である。
【図8f】 3Dコップ型構造を有するコンデンサを製造する一実施例の略断面図である。
【図9】 3Dコップ型構造の断面の電子走査顕微鏡写真である。
【図10】 256kの等価アレイについての3Dコンデンサの漏れ電流密度のグラフであ
る。
【図11】 トレンチコンデンサを有するDRAMデバイスの別の実施例の断面図である。
【符号の説明】
410…シリコン基板、420…コンタクト、430…コンデンサ、440…
誘電材料、450…ボトム電極(第1電極)、460…誘電材料、470…トッ
プ電極(第2電極)、480…誘電材料、618…コンデンサ、619…P+基
板、622…誘電材料、624…第1電極、625…第2電極。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/10 625B (72)発明者 ドーンフェスト, チャールズ アメリカ合衆国, カリフォルニア州, フリーモント, ホワイトキャップ ウェ イ 39654 (72)発明者 ジン, チャオリャン アメリカ合衆国, カリフォルニア州, サン ホセ, アーリントン レーン 1212 (72)発明者 ワン, エイジン アメリカ合衆国, カリフォルニア州, フリーモント, ジョイス アベニュー 41569 (72)発明者 ジャオ, ジュン アメリカ合衆国, カリフォルニア州, クーパーティノ リッジ クリーク コー ト 11764 (72)発明者 奥野 泰利 京都府相楽郡精華町光台8−16−4 (72)発明者 鼓谷 昭彦 大阪府茨木市畑田町10−35−205 (72)発明者 森 義弘 大阪府寝屋川市成田東が丘42−3 (72)発明者 クゥァー, シュレヤス アメリカ合衆国, カリフォルニア州, キャンプベル, アドリエン ドライブ. 1656 (72)発明者 ニクレス, アナベル アメリカ合衆国, カリフォルニア州, パロ アルト, サン アントニオ ロー ド #8シー, 444 (72)発明者 タオ, ジェリー アメリカ合衆国, カリフォルニア州, パロ アルト, マクケラー レーン #5エー, 4217 Fターム(参考) 5F058 BA11 BC03 BF02 BH01 BJ04 5F083 AD16 AD24 AD49 FR02 GA06 GA27 JA06 JA14 JA15 JA17 JA38 JA43 MA06 MA17 PR21 PR33 PR40

Claims (64)

    【特許請求の範囲】
  1. 【請求項1】 基板上に金属酸化物層を堆積させるための方法であって: 該基板上に約480℃に等しいか或いはそれより低い基板温度でCVD金属酸
    x化物層を堆積させること;及び 該金属酸化物をアニーリングすることを含み;アニーリングすることは、 約600℃〜900℃の第1基板温度を与えること、 該第1基板温度を約0.1秒〜30分の期間にわたり維持すること、 約500℃〜600℃の第2基板温度を与えること、及び 該第2基板温度を少なくとも5分の期間にわたり維持することを含む、方法。
  2. 【請求項2】 前記第1基板温度は、約600℃〜約700℃であり、そし
    て約10秒〜約10分の期間にわたり維持される、請求項1記載の方法。
  3. 【請求項3】 前記第1基板温度は、約700℃〜約900℃であり、そし
    て約0.1秒〜約1分の期間にわたり維持される、請求項1記載の方法。
  4. 【請求項4】 前記第1基板温度は、約700℃〜約900℃であり、そし
    て約0.1秒〜約5秒の期間にわたり維持される、請求項3記載の方法。
  5. 【請求項5】 第1基板温度を与えることは、基板温度を約100℃/秒〜
    約300℃/秒の割合で上昇させることを含む、請求項1記載の方法。
  6. 【請求項6】 第2基板温度を与えることは、基板温度を約50℃/秒〜約
    150℃/秒の割合で低下させることを含む、請求項1記載の方法。
  7. 【請求項7】 前記CVD金属酸化物層は約80オングストローム以下の厚
    さを有する、請求項1記載の方法。
  8. 【請求項8】 前記CVD金属酸化物層は約50オングストローム以下の厚
    さを有する、請求項7記載の方法。
  9. 【請求項9】 前記金属酸化物はチタン酸バリウムストロンチウムである、
    請求項1記載の方法。
  10. 【請求項10】 前記金属酸化物はジルコン酸チタン酸鉛である、請求項1
    記載の方法。
  11. 【請求項11】 前記金属酸化物は、チタン酸バリウムストロンチウム、ジ
    ルコン酸チタン酸鉛、酸化タンタル、チタン酸ジルコン酸塩、チタン酸ストロン
    チウム、ランタンドープジルコン酸チタン酸鉛、チタン酸ビスマス、及びチタン
    酸バリウムからなるグループから選択された高誘電率材料である、請求項1記載
    の方法。
  12. 【請求項12】 前記金属酸化物をアニーリングすることは酸化環境で行わ
    れる、請求項1記載の方法。
  13. 【請求項13】 基板を処理するための方法であって: 第1電極を堆積させること; 該第1電極上に約480℃に等しいか或いはそれより低い基板温度でCVD金
    属酸化物層を堆積させること; 該金属酸化物をアニーリングすることを含み、アニーリングすることは、 約600℃〜900℃の第1基板温度を与えること、 該第1基板温度を約0.1秒〜30分の期間にわたり維持すること、 約500℃〜600℃の第2基板温度を与えること、及び 該第2基板温度を少なくとも5分の期間にわたり維持することを含み;そして 前記金属酸化物を覆って第2電極を堆積させること; を含む方法。
  14. 【請求項14】 前記第1基板温度は、約600℃〜約700℃であり、そ
    して約10秒〜約10分の期間にわたり維持される、請求項13記載の方法。
  15. 【請求項15】 前記第1基板温度は、約700℃〜約900℃であり、そ
    して約0.1秒〜約1分の期間にわたり維持される、請求項13記載の方法。
  16. 【請求項16】 前記第1基板温度は、約700℃〜約900℃であり、そ
    して約0.1秒〜約5秒の期間にわたり維持される、請求項15記載の方法。
  17. 【請求項17】 第1基板温度を与えることは、基板温度を約100℃/秒
    〜約300℃/秒の割合で上昇させることを含む、請求項13記載の方法。
  18. 【請求項18】 第2基板温度を与えることは、基板温度を約50℃/秒〜
    約150℃/秒の割合で低下させることを含む、請求項13記載の方法。
  19. 【請求項19】 前記CVD金属酸化物層は約80オングストローム以下の
    厚さを有する、請求項13記載の方法。
  20. 【請求項20】 前記CVD金属酸化物層は約50オングストローム以下の
    厚さを有する、請求項19記載の方法。
  21. 【請求項21】 前記金属酸化物はチタン酸バリウムストロンチウムである
    、請求項13記載の方法。
  22. 【請求項22】 前記金属酸化物はジルコン酸チタン酸鉛である、請求項1
    3記載の方法。
  23. 【請求項23】 前記金属酸化物は、チタン酸バリウムストロンチウム、ジ
    ルコン酸チタン酸鉛、酸化タンタル、チタン酸ジルコン酸塩、チタン酸ストロン
    チウム、ジルコン酸チタン酸鉛、ランタンドープジルコン酸チタン酸鉛、チタン
    酸ビスマス、及びチタン酸バリウムからなるグループから選択された高誘電率材
    料である、請求項13記載の方法。
  24. 【請求項24】 前記第1電極は、プラチナ、ルテニウム、酸化ルテニウム
    、イリジウム、酸化イリジウム及びそれらの組合せのグループから選択された材
    料を含む、請求項13記載の方法。
  25. 【請求項25】 前記第1電極は物理気相堆積により堆積されたプラチナを
    含む、請求項21記載の方法。
  26. 【請求項26】 前記第1電極はイリジウム及び酸化イリジウムからなるグ
    ループから選択された材料を含み、該材料は物理気相堆積により堆積される、請
    求項22記載の方法。
  27. 【請求項27】 前記第2電極は、プラチナ、ルテニウム、酸化ルテニウム
    、イリジウム、酸化イリジウム及びそれらの組合せのグループから選択された材
    料を含む、請求項13記載の方法。
  28. 【請求項28】 前記第2電極は物理気相堆積により堆積されたプラチナを
    含む、請求項21記載の方法。
  29. 【請求項29】 前記第2電極はイリジウム及び酸化イリジウムからなるグ
    ループから選択された材料を含み、該材料は物理気相堆積により堆積される、請
    求項22記載の方法。
  30. 【請求項30】 前記金属酸化物をアニーリングすることは酸化環境で行わ
    れる、請求項13記載の方法。
  31. 【請求項31】 前記第1電極を約400℃〜約500℃の温度で還元環境
    においてアニーリングすることを更に含む、請求項13記載の方法。
  32. 【請求項32】 前記第1電極を約400℃〜約600℃の温度で酸化環境
    においてアニーリングすることを更に含む、請求項13記載の方法。
  33. 【請求項33】 化学機械研磨によりボトム電極を描くことを更に含む、請
    求項31記載の方法。
  34. 【請求項34】 前記第1電極は0.1μm以下の外形寸法を有する特徴上
    に堆積される、請求項13記載の方法。
  35. 【請求項35】 基板を処理するための方法であって: 第1電極を堆積させること; 該第1電極上に約480℃に等しいか或いはそれより低い基板温度でCVD金
    属酸化物層を堆積させること; 該酸化物層を覆って第2電極を堆積させること;及び 該金属酸化物層及び該第2電極をアニーリングすることを含み、アニーリング
    することは、 約600℃〜900℃の第1基板温度を与えること、 該第1基板温度を約0.1秒〜30分の期間にわたり維持すること、 約500℃〜600℃の第2基板温度を与えること、及び 該第2基板温度を少なくとも5分の期間にわたり維持することを含む; 方法。
  36. 【請求項36】 前記第1基板温度は、約600℃〜約700℃であり、そ
    して約10秒〜約10分の期間にわたり維持される、請求項35記載の方法。
  37. 【請求項37】 前記第1基板温度は、約700℃〜約900℃であり、そ
    して約0.1秒〜約5分の期間にわたり維持される、請求項35記載の方法。
  38. 【請求項38】 前記第1基板温度は、約700℃〜約900℃であり、そ
    して約0.1秒〜約5秒の期間にわたり維持される、請求項37記載の方法。
  39. 【請求項39】 第1基板温度を与えることは、基板温度を約100℃/秒
    〜約300℃/秒の割合で上昇させることを含む、請求項35記載の方法。
  40. 【請求項40】 第2基板温度を与えることは、基板温度を約50℃/秒〜
    約150℃/秒の割合で低下させることを含む、請求項35記載の方法。
  41. 【請求項41】 前記CVD金属酸化物層は約80オングストローム以下の
    厚さを有する、請求項35記載の方法。
  42. 【請求項42】 前記CVD金属酸化物層は約50オングストローム以下の
    厚さを有する、請求項41記載の方法。
  43. 【請求項43】 前記金属酸化物はチタン酸バリウムストロンチウムである
    、請求項35記載の方法。
  44. 【請求項44】 前記金属酸化物はジルコン酸チタン酸鉛である、請求項3
    5記載の方法。
  45. 【請求項45】 前記金属酸化物は、チタン酸バリウムストロンチウム、ジ
    ルコン酸チタン酸鉛、酸化タンタル、チタン酸ジルコン酸塩、チタン酸ストロン
    チウム、ジルコン酸チタン酸鉛、ランタンドープジルコン酸チタン酸鉛、チタン
    酸ビスマス、及びチタン酸バリウムからなるグループから選択された高誘電率材
    料である、請求項35記載の方法。
  46. 【請求項46】 前記第1電極は、プラチナ、ルテニウム、酸化ルテニウム
    、イリジウム、酸化イリジウム及びそれらの組合せのグループから選択された材
    料を含む、請求項35記載の方法。
  47. 【請求項47】 前記第1電極は物理気相堆積により堆積されたプラチナを
    含む、請求項43記載の方法。
  48. 【請求項48】 前記第1電極はイリジウム及び酸化イリジウムからなるグ
    ループから選択された材料を含み、該材料は物理気相堆積により堆積される、請
    求項44記載の方法。
  49. 【請求項49】 前記第2電極は、プラチナ、ルテニウム、酸化ルテニウム
    、イリジウム、酸化イリジウム及びそれらの組合せのグループから選択された材
    料を含む、請求項35記載の方法。
  50. 【請求項50】 前記第2電極は物理気相堆積により堆積されたプラチナを
    含む、請求項43記載の方法。
  51. 【請求項51】 前記第2電極はイリジウム及び酸化イリジウムからなるグ
    ループから選択された材料を含み、該材料は物理気相堆積により堆積される、請
    求項44記載の方法。
  52. 【請求項52】 前記金属酸化物をアニーリングすることは酸化環境で行わ
    れる、請求項35記載の方法。
  53. 【請求項53】 前記第1電極を約400℃〜約500℃の温度で還元環境
    においてアニーリングすることを更に含む、請求項35記載の方法。
  54. 【請求項54】 前記第1電極を約400℃〜約600℃の温度で酸化環境
    においてアニーリングすることを更に含む、請求項53記載の方法。
  55. 【請求項55】 化学機械研磨によりボトム電極を描くことを更に含む、請
    求項35記載の方法。
  56. 【請求項56】 前記第1電極は0.1μm以下の外形寸法を有する特徴上
    に堆積される、請求項35記載の方法。
  57. 【請求項57】 コンデンサであって、 プラチナボトム電極と、 BST誘電層と、 プラチナトップ電極とを備え、前記コンデンサは10fA/セル以下よりも低
    い電流漏れを有する、コンデンサ。
  58. 【請求項58】 前記コンデンサは3Dコップ型コンデンサである、請求項
    57記載のコンデンサ。
  59. 【請求項59】 前記コンデンサは0.1μmの外形寸法を有する、請求項
    57記載のコンデンサ。
  60. 【請求項60】 前記コンデンサは2:1以上のアスペクト比を有する、請
    求項59記載のコンデンサ。
  61. 【請求項61】 前記コンデンサは4:1以上のアスペクト比を有する、請
    求項60記載のコンデンサ。
  62. 【請求項62】 前記BST誘電層は約80オングストローム以下の厚さを
    有する、請求項57記載のコンデンサ。
  63. 【請求項63】 前記BST誘電層は約50オングストローム以下の厚さを
    有する、請求項62記載のコンデンサ。
  64. 【請求項64】 基板上に金属酸化物層を堆積させるための方法であって、 該基板上に約480℃に等しいか或いはそれより低い基板温度でCVD金属酸
    化物層を堆積させること;及び 該金属酸化物をアニーリングすることを含み;アニーリングすることは、 約500℃〜900℃の第1基板温度を与えること、 該第1基板温度を約0.1秒〜30分の期間にわたり維持すること、及び 約500℃〜750℃の第2基板温度を与えることを含む、方法。
JP2001550790A 2000-01-06 2001-01-08 コンデンサ構造のための低熱収支金属酸化物堆積 Withdrawn JP2003519913A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17498300P 2000-01-06 2000-01-06
US60/174,983 2000-01-06
PCT/US2001/000554 WO2001050510A2 (en) 2000-01-06 2001-01-08 Low thermal budget metal oxide deposition for capacitor structures

Publications (1)

Publication Number Publication Date
JP2003519913A true JP2003519913A (ja) 2003-06-24

Family

ID=22638328

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001550790A Withdrawn JP2003519913A (ja) 2000-01-06 2001-01-08 コンデンサ構造のための低熱収支金属酸化物堆積

Country Status (3)

Country Link
US (1) US20020197793A1 (ja)
JP (1) JP2003519913A (ja)
WO (1) WO2001050510A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005522892A (ja) * 2002-04-15 2005-07-28 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 短時間熱処理を使って薄膜層を化学的に形成する方法
JP2013021012A (ja) * 2011-07-07 2013-01-31 Renesas Electronics Corp 半導体装置の製造方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6943392B2 (en) * 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
US6429097B1 (en) * 2000-05-22 2002-08-06 Sharp Laboratories Of America, Inc. Method to sputter silicon films
US6558517B2 (en) 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
US6838122B2 (en) 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
US20030017266A1 (en) * 2001-07-13 2003-01-23 Cem Basceri Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
US7011978B2 (en) 2001-08-17 2006-03-14 Micron Technology, Inc. Methods of forming capacitor constructions comprising perovskite-type dielectric materials with different amount of crystallinity regions
JP2004047633A (ja) * 2002-07-10 2004-02-12 Tokyo Electron Ltd 成膜方法及び成膜装置
DE10255841A1 (de) * 2002-11-29 2004-06-17 Infineon Technologies Ag Kondensator mit ruthenhaltigen Elektroden
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
JP4811551B2 (ja) * 2003-03-26 2011-11-09 セイコーエプソン株式会社 強誘電体膜の製造方法および強誘電体キャパシタの製造方法
JP3831764B2 (ja) * 2003-06-17 2006-10-11 国立大学法人名古屋大学 高誘電率金属酸化物膜の作製方法、高誘電率金属酸化物膜、多層膜構造体、ゲート絶縁膜、及び半導体素子
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
KR100717813B1 (ko) * 2005-06-30 2007-05-11 주식회사 하이닉스반도체 나노믹스드 유전막을 갖는 캐패시터 및 그의 제조 방법
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
JP4666269B2 (ja) * 2006-07-03 2011-04-06 株式会社村田製作所 バリスタ機能付き積層型半導体セラミックコンデンサ及びその製造方法
US7763511B2 (en) * 2006-12-29 2010-07-27 Intel Corporation Dielectric barrier for nanocrystals
US8367506B2 (en) 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
US8889507B2 (en) 2007-06-20 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitors with improved reliability
JP5397341B2 (ja) 2010-07-23 2014-01-22 株式会社村田製作所 バリスタ機能付き積層型半導体セラミックコンデンサ
EP2620975A4 (en) * 2010-09-21 2014-07-23 Ulvac Inc METHOD AND DEVICE FOR MANUFACTURING THIN FILMS

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434102A (en) * 1991-02-25 1995-07-18 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5468684A (en) * 1991-12-13 1995-11-21 Symetrix Corporation Integrated circuit with layered superlattice material and method of fabricating same
US5372859A (en) * 1992-10-20 1994-12-13 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Enhanced fatigue and retention in ferroelectric thin film memory capacitors by post-top electrode anneal treatment
JP2956482B2 (ja) * 1994-07-29 1999-10-04 日本電気株式会社 半導体記憶装置及びその製造方法
US5504041A (en) * 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
KR0165484B1 (ko) * 1995-11-28 1999-02-01 김광호 탄탈륨산화막 증착 형성방법 및 그 장치
US5930584A (en) * 1996-04-10 1999-07-27 United Microelectronics Corp. Process for fabricating low leakage current electrode for LPCVD titanium oxide films
JPH1050960A (ja) * 1996-07-26 1998-02-20 Texas Instr Japan Ltd 強誘電体キャパシタ及び強誘電体メモリ装置と、これらの製造方法
JPH10107223A (ja) * 1996-10-02 1998-04-24 Texas Instr Japan Ltd 誘電体キャパシタ及び誘電体メモリ装置と、これらの製造方法
TW370723B (en) * 1997-11-27 1999-09-21 United Microelectronics Corp Method for reducing current leakage of high capacitivity materials
JPH11220104A (ja) * 1998-01-30 1999-08-10 Toshiba Corp 半導体装置の製造方法
US5972722A (en) * 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
KR100301369B1 (ko) * 1998-06-24 2001-10-27 윤종용 반도체메모리장치의커패시터제조방법
JP3159255B2 (ja) * 1998-09-16 2001-04-23 日本電気株式会社 強誘電体容量で用いる電極のスパッタ成長方法
US6204203B1 (en) * 1998-10-14 2001-03-20 Applied Materials, Inc. Post deposition treatment of dielectric films for interface control
JP3228245B2 (ja) * 1998-11-13 2001-11-12 日本電気株式会社 酸化タンタル膜の製造方法
US6297527B1 (en) * 1999-05-12 2001-10-02 Micron Technology, Inc. Multilayer electrode for ferroelectric and high dielectric constant capacitors
US6127260A (en) * 1999-07-16 2000-10-03 Taiwan Semiconductor Manufacturing Company Method of forming a tee shaped tungsten plug structure to avoid high aspect ratio contact holes in embedded DRAM devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005522892A (ja) * 2002-04-15 2005-07-28 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 短時間熱処理を使って薄膜層を化学的に形成する方法
JP2013021012A (ja) * 2011-07-07 2013-01-31 Renesas Electronics Corp 半導体装置の製造方法
US8940601B2 (en) 2011-07-07 2015-01-27 Renesas Electronics Corporation Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
US20020197793A1 (en) 2002-12-26
WO2001050510A3 (en) 2002-03-07
WO2001050510A2 (en) 2001-07-12

Similar Documents

Publication Publication Date Title
JP2003519913A (ja) コンデンサ構造のための低熱収支金属酸化物堆積
US5618761A (en) Method of manufacturing a perovskite thin film dielectric
KR100975268B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US20020015855A1 (en) System and method for depositing high dielectric constant materials and compatible conductive materials
US6992022B2 (en) Fabrication method for semiconductor integrated devices
JP3670628B2 (ja) 成膜方法、成膜装置、および半導体装置の製造方法
US6793969B2 (en) Method of forming an oxidation-resistant TiSiN film
TWI521629B (zh) 膜形成方法、利用該膜形成方法之半導體裝置的製造方法、膜形成設備、及半導體裝置
US7259058B2 (en) Fabricating method of semiconductor integrated circuits
US5686151A (en) Method of forming a metal oxide film
US20070014919A1 (en) Atomic layer deposition of noble metal oxides
US20060110533A1 (en) Methods and apparatus for forming a titanium nitride layer
US20060214204A1 (en) Ferroelectric structures and devices including upper/lower electrodes of different metals and methods of forming the same
KR20010033553A (ko) 비스무스-기질 강유전성 박막의 선택적인 증착 방법
WO2001099171A1 (fr) Dispositif de fourniture de gaz et dispositif de traitement
US6376299B1 (en) Capacitor for semiconductor memory device and method of manufacturing the same
US7041546B2 (en) Film forming method for depositing a plurality of high-k dielectric films
US20070287248A1 (en) Method for manufacturing capacity element, method for manufacturing semiconductor device and semiconductor-manufacturing apparatus
US6297122B1 (en) Method of forming conductive film and capacitor
TW437058B (en) Vapor growth method and device for metal oxide dielectric film
JPH11323560A (ja) 成膜処理方法及び成膜処理装置
JP2001127258A (ja) 半導体装置およびその製造方法
JP2007081410A (ja) 強誘電体膜及び強誘電体キャパシタ形成方法及び強誘電体キャパシタ
JP3353835B2 (ja) 金属酸化物誘電体膜の気相成長方法
JP3171246B2 (ja) 金属酸化物誘電体膜の気相成長方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080108

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080129