JP2003507887A - 集積回路分離構造の製造 - Google Patents

集積回路分離構造の製造

Info

Publication number
JP2003507887A
JP2003507887A JP2001517425A JP2001517425A JP2003507887A JP 2003507887 A JP2003507887 A JP 2003507887A JP 2001517425 A JP2001517425 A JP 2001517425A JP 2001517425 A JP2001517425 A JP 2001517425A JP 2003507887 A JP2003507887 A JP 2003507887A
Authority
JP
Japan
Prior art keywords
substrate
dielectric material
layer
trenches
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001517425A
Other languages
English (en)
Inventor
オリビエ、ラパラ
ラミロ、ソリス
ハンター、ブルージ
ミケラ、エス.ラブ
ビジャン、モスレヒ
ミリンド、ウェリング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Electronics NV filed Critical Philips Electronics NV
Publication of JP2003507887A publication Critical patent/JP2003507887A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

(57)【要約】 改善された集積回路分離構造の提供を含む、集積回路を提供する技術が開示されている。この技術は、電気的に相互に絶縁された多数の基板領域を定めるために集積回路基板に多数のトレンチを形成することを含んでいる。誘電性材料は、第1のエッチング対堆積率を有する高濃度プラズマに晒されることによりトレンチ内に堆積される。高濃度プラズマは、少なくともトレンチへの部分的な充填の後に、基板上に誘電性材料を積み重ねるために、第1のエッチング対堆積率よりも大きい第2のエッチング対堆積率で調整される。誘電性材料の一部分は、ワークピースを平面化するために除去される。例えば絶縁ゲート電界効果トランジスタのような多数の構成素子が、トレンチ間の基板領域に連続的に形成される。

Description

【発明の詳細な説明】
【0001】
【発明の背景】
この発明は集積回路装置の製造に係り、特に、排他的というのではなく、集積
回路のための電気的な絶縁構造を提供する技術に関する。
【0002】 浅いトレンチ分離(STI―Shallow Trench Isolation―)は、サブミクロン
単位の臨界寸法(critical dimension)による構成要素を有する集積回路の電気
的絶縁領域についての好ましい技術となってきている。一般に、STIは、集積
回路基板にトレンチを形成し、その後これらのトレンチ内に誘電性材料を充填す
ることを含んでいる。1つのアプローチは、例えばデェイその他(Dai et al.)
に与えられた米国特許第5,691,215号に記載されているような低圧の化
学的気相堆積法(LPCVD―Low Pressure Chemical Vapor Deposition―)の
手順を用いているテトラエティロースシリケート(TEOS―Tetra Ethylorth
OSilicate―4エチル基を有する珪酸塩)をトレンチに充填することであった。
しかしながら、このアプローチは0.25ミクロン以下の臨界寸法を有する構成
要素を含むところまで集積回路が寸法を小さくしているので、多数の空虚な結果
や他の不連続性を残しがちである。
【0003】 したがって、他のアプローチが詳しく研究されている。例えば、ツェングその
他(Zheng et al.)に与えられた米国特許第5,728,621は、分離用トレ
ンチに誘電性材料を充填させて堆積する高濃度プラズマ(HDP―High Density
Plasma ―)を説明している。それでも、このアプローチの1つの欠点は、種々
のサイズやパターン濃度の表面形状の上に局所的に平面度が出せなくなることで
ある。一般にHDP堆積は、トレンチ間の大きく拡張的な特性よりも、より薄い
材料、および互いに狭い間隙となるであろう狭いトレンチよりも、より薄い材料
である結果となる。この不均一性が原因となって、例えば化学的・機械的研磨法
(CMP)のようなその後の平面化の手順は、しばしば、薄い領域におけるHD
P材料の真下の層や膜の厚さに深刻な低減を与えたり、厚い領域におけるHDP
材料の幾つかを除去できなかったりする結果となる。
【0004】 この問題を解決する1つの試みは、「反対のマスク(reverse mask)」スキー
ム(手法)であった。この手法は、HDP材料の厚さが結果として一般的に均一
となるまで、より厚い領域を選択的にエッチングして除去することによりHDP
材料をより薄くした範囲を覆うマスクを設けることを含んでいる。この反対のマ
スクは、その後、除去され、装置は所望の規格となるまで平面化される。残念な
がら、反対のマスク処理が、製品を製造することや、幾つかの装置を処理位相に
付加することを、顕著に悪化させることもある。これに付随して、製造コストは
一般に増加する。このように、分離絶縁構造を提供する、より良い技術に関する
要求がある。
【0005】
【発明の概要】
この発明の1つの形態は、改善された集積回路装置である。この発明の選択的
な他の形態は、電子装置を提供するための改善されたプロセスである。このプロ
セスは、集積回路装置の製造を円滑にするための高濃度プラズマ(HDP―High
Density Plasma ―)による堆積を含んでも良い。
【0006】 更に他の選択的な形態は、装置上に分離構造を提供する技術である。例えばこ
の技術は、集積回路装置の基板に分離構造を形成するために適用されても良い。
他の例としては、この技術は、1つまたはそれ以上の分離構造を提供するため、
基板のトレンチに誘電物質を充填するための改善されたプロセスを含んでいても
良い。
【0007】 他の選択的な形態において、この発明は、少なくとも1つの集積回路を形成す
るためにワークピース(製造工程における製品)としての基板に多数のトレンチ
を形成することを含んでいる。これらのトレンチは、基板における多数の領域が
互いに電気的に絶縁されるための範囲を定めるものである。これらのトレンチは
、エッチングに対する堆積(堆積:エッチング)の率を少なくとも5として、誘
電性材料の堆積とスパッタエッチングとを同時に行なうことにより、少なくとも
部分的に充填される。より好ましくは、この堆積対エッチングの率は、約5.5
から約6.5までの範囲内にある。より好ましくは、この堆積対エッチングの率
は、約5.8から約6.2までの範囲内にある。ワークピースとしての基板の更
なる処理は平面化処理を含んでいても良い。
【0008】 この発明のその他の選択的な形態は、この発明を限定するものではないが、互
いに電気的に分離された多数の基板領域を範囲として定める集積回路基板におけ
る多数のトレンチを形成するための技術を含んでいる。誘電性材料は、第1の対
エッチング堆積率を有する高濃度プラズマに晒すことによりトレンチ内に堆積さ
れる。この高濃度プラズマは、前記トレンチに少なくとも部分的に充填した後に
基板上に前記誘電性材料を積層させる第1の率よりも大きな第2の対エッチング
堆積率で調整されている。誘電性材料の一部分は、ワークピースを平面化処理す
るために除去される。
【0009】 更に他の選択的な形態において、この発明は集積回路基板の中に多数のトレン
チを形成すること、および、前記トレンチ内に誘電性材料を堆積させること、を
含んでいる。誘電性材料は、少なくとも約5のエッチング対堆積率を有する高濃
度プラズマにより提供されても良い。多数の回路構成要素は、基板に沿って供給
されても良い。この形態においては、誘電性材料の堆積は、高濃度のプラズマに
より前記トレンチ内に誘電性材料を少なくとも部分的に充填させた後に、TEO
S誘電物質を堆積させることを含んでいても良い。
【0010】 この発明の更なる選択的形態は、装置上の被覆(コーティング)の厚さの変化
を制御することを含んでいる。この被覆は、均一に塗布して、例えば1またはそ
れ以上のトレンチを有する基板のような、下地の構造の不均一さを改善するよう
に適用されていても良い。
【0011】 更に他の選択的な形態は、ワークピース内に多数のトレンチを提供すること、
および、高濃度プラズマによりワークピースを晒すことによりワークピース上に
誘電性材料を堆積させること、を含んでいる。誘電性物質は少なくても部分的に
トレンチに充填される。堆積は、約0.2の高さ対厚さの率の最大ステップをも
ってワークピース上の誘電性物質の厚さを確立することを含んでいる。更なる処
理の間に、ワークピースは、化学的・機械的研磨により平面化処理される。
【0012】 この発明の更なる目的、形態、実施例、利益、長所、特徴および相は、この明
細書に含まれる説明および図面から明らかとなるであろう。
【0013】
【発明の実施の形態】
図1ないし図6は、この発明のプロセスの選択された段階を示す部分断面図で
あり、同一の符号は同一の特徴を表現している。幾つかの事例において、図1な
いし図6またはこれらの選択された特徴は、明瞭さを強調させる縮尺で描かれて
いる。
【0014】 この発明の原理を理解することを促進させることを目的として、図面に示され
る実施形態についてここで参考とされ、特定の用語が図面を説明するために用い
られる。それにも拘わらず、この発明の範囲をこれらにより限定する意図を有す
るものでないということは理解されるであろう。説明される実施形態における如
何なる変形および更なる変更やこの明細書で説明されたようなこの発明の原理の
更なる適用は、この発明が関係する技術分野の熟練者に通常生じるであろう程度
に考慮される。
【0015】 この中で用いられているように、技術用語「シリコンの酸化物」は、どのよう
な化学量に関する率の酸化物およびシリコンを含むいかなる物質に対しても広く
用いられ、1またはそれ以上の他の要素を含んでいても良い。さらに、この中で
用いられているように、技術用語「窒化シリコン」は、いかなる化学量の率にお
けるシリコンおよび窒化物を含むいかなる物質に対しても広く参照され、1また
はそれ以上の他の要素を含んでいても良い。化学化合物の式は、特定の化合物の
化学量を識別するために、ここでは用いられるであろう。
【0016】 図1ないし図6は、集積回路装置を提供するためのプロセス200の1つの好
適な実施形態における選択された順繰りの段階200aから200fを示してい
る。図1は、中間的な処理ステージ200aでの集積回路装置ワークピース20
を表している。ワークピース20は、集積回路基板22を含んでいる。第1のマ
スク層24は基板22上に示されており、第2のマスク層26は層24上に示さ
れている。基板22は一般的に平面であり、例えば単結晶シリコンのような普通
の半導体材料により形成されることが望ましいが、基板22の他の表面的な状況
、化合物、配置でもまたこの技術分野の通常の知識を有するものにとって生じる
であろうように、考慮される。図に表されているように、基板22は、図1から
見た平面に垂直な平面に沿って一般的に延長されている。基板22は、あとの処
理段階の間に、基板22内に形成されることが望まれる半導体の接合のそれぞれ
のタイプに適するように、最初はp−またはn−にドープ(浸潤)されている。
【0017】 層24および26は、基板22の処理のためのハードマスクを提供するのに適
した材料により形成されている。1つの好適な組み合わせにおいて、層24はシ
リコンの酸化物により構成されており、層26は窒化シリコンにより構成されて
いる。より好ましくは、層24は、標準的な熱酸化技術を用いて形成されて、約
50から300オングストロームの間の厚さを有する二酸化シリコンを含んでい
る。層26については、低圧力の化学的気相法(LPCVD―Low Pressure Che
mical Vapor Deposition―)技術またはプラズマ強化化学的気相法(PECVD
―Plasma Enhanced Chemical Vapor Deposition ―)技術により、少なくとも約
1000オングストロームの厚さにされた窒化シリコンを堆積させることにより
それが形成されることがより好ましい。他の実施形態において、異なる化合物お
よび形成技術が、この技術分野の通常の知識を有する者にとって生じるように、
層24および26に適用されていても良い。更に他の実施形態において、1また
はそれ以上の層24および26が設けられていなくても良い。
【0018】 図1のステージ200aから図2のステージ200bへの遷移において、層2
4,26はマスク27を集合的に形成するためにパターンを形成されている。好
ましくは、マスク27は、その後に現像される基板トレンチパターン画像とする
ため、フォトレジスト膜を適用すると共に露光装置によりそれを露光することに
より、形成されている。ポジティブなフォトレジスト材料のために、トレンチに
対応する材料の部分がこのプロセスにより除去されて、反応性イオンエッチング
(RIE―Reactive Ion Etching―)のようなエッチング手順が下地層および基
板に結果としてのポジティブフォトレジスト層パターンを移すために用いられて
いる。この手順のために、層24,26がそれぞれ二酸化シリコンおよび窒化シ
リコンにより構成されているときに、層24,26のためのエッチングとして、
RIEの化学反応式は、CF−CHF−Arを採用しても良い。層24,2
6からのマスクの形成は、マスク開口部27a,27b,27c、27dの範囲
を定める。基板22には、アクティブ素子領域とトレンチパターン30の範囲を
後に定めるためにマスク27を用いてパターンが形成されている。基板22がシ
リコンにより形成されているとき、HBr−Cl−Oの化学反応式がパター
ン30を提供するために用いられている。トレンチパターン30は、それぞれ開
口部27a,27b,27c,27dを遮断する代表的なトレンチ32,34,
36,38を含んでいる。トレンチパターン30は、多数の小さくて狭い特徴部
分32a,34a,36aを備え;これに対して、構成要素領域28は、大きく
て広い特徴部分28aに対応している。特徴部分28a,32a,34a,36
aの選択された寸法上の関係は、この発明の理解を高めるのに比例しては表され
ていない。
【0019】 トレンチパターン30の形成後、ワークピース20は誘電性充填材料40aの
堆積により更に処理される。充填材料40aは、パターン30のトレンチ32,
34,36,38に少なくとも部分的に充填されており、好ましくは、特徴部分
28a,32a,34aおよび36aを含むワークピース20を覆っている。好
ましくは、材料40aは、二酸化シリコンを含むか、シリカを基材とするかの何
れかであり、かつ、高濃度プラズマ(HDP)から形成されている。1つの実施
形態において、好適なHDP堆積を行なうために用いられる設備は、モデルナン
バーがアプライドマテリアル会社、営業上の住所がカルフォルニア州、9505
4−3299、サンタクララ、ボウアー通り3050、により提供されるウルテ
ィマ(Ultima)HDP−CVDがある。
【0020】 好適なHDP堆積中のエッチング対堆積率は、少なくとも約5であることが望
ましい。このエッチング対堆積率は、約5.5から約6.5の範囲内であること
が更に望ましい。このエッチング対堆積率は、約5.8から約6.2の範囲内で
あることが最も望ましい。もしも、5よりも大きいエッチング対堆積率が高アス
ペクト率の表面形状に充填するのに不充分であるならば、第1のステップの間に
低いエッチング対堆積率を伴う2段階プロセスを用いることができる。この低い
エッチング対堆積率プロセスは、これらのギャップが部分的に充填されるまで用
いることができ、少なくとも5を有する高いエッチング対堆積率は堆積が完了す
るまで用いることができる。
【0021】 この発明に従ったエッチング対堆積率(堆積:エッチ)で誘電性材料を同時に
エッチングし堆積させることは、有害な継ぎ目や空乏を作ることなくトレンチの
効力のある充填を提供することが見いだされた。事実、300ナノメータよりも
狭い幅の分離トレンチは、このようにして充填されるのに適しているということ
は気づかれるべきである。とはいえ、この発明の他の実施形態は、これらの所見
に対応する特徴を具体化するものではなく、その代わりに、1つまたはそれ以上
の他の実施形態、アスペクト(相)または特徴に関するものである。
【0022】 図3の中間段階200cに示されているように、充填材料40aは、表面42
の領域を定めている。表面42の領域44は、総体的に平坦であり、また、両端
に尖頭のある矢印54により表示されているように、充填材料40aの最大の厚
さT1max に総体的に対応している。領域44は、構成要素領域28に対応
する特徴部分28aを覆っている。表面42はまた、トレンチ32,34,36
,38上の溝46と、特徴部分32a,34a,36a上の頂点48とを備える
総体的に不均一な部分を有している。頂点48上で、両端に尖頭のある矢印55
により表示された充填材料40aの最大の厚さT2max を有している。頂点
48上の厚さT2max は、領域44上の厚さT1max よりも総体的には薄
くなっている。溝46は、両端に尖頭のある矢印56により表示された最小の厚
さT1min に対応している。両端に尖頭のある矢印58は、小さな特徴部分
の充填材料の厚さの差またはΔ1により示される小さな特徴部分の「段の高さ」
を表示している。両端に尖頭のある矢印59は、大きな特徴部分の充填材料の厚
さの差またはΔ2により示される大きな特徴部分の「段の高さ」を表示している
。Δ1とΔ2との間の差(Δ2−Δ1)は、段の高さの差Δ3で示されている。
図3において、代表的なトレンチの幅TWは、両端に尖頭のある矢印52により
表示されている。
【0023】 エッチング対堆積率の増加により、T2maxが良好に増加して、これに対応
してΔ3は減少する。同時に、より高いエッチング対堆積率に依存するT1ma ,T2max,Δ2(もしも何れかが存在すれば)の選択は、顕著なものでは
ない。したがって、Δ1は増加して、Δ3/Δ1は減少する。1つの実施形態に
おいて、Δ1とΔ2とは殆ど同じであるので、Δ3はゼロに近づくことになる。
他の実施形態においては、Δ3は減少するが、Δ1とΔ2との差は維持される。
【0024】 ステージ200cにおける小さな特徴段部分の厚さに対する高さの割合(HT
R1―Height-to-Thickness Ratio―)は、Δ1/T2max(HTR1=Δ1
/T2max)により与えられる。この変化の測定は、Δ1の増加に伴い増加し
て、後でより充分に説明するように、その後の処理の結果を改善することをしば
しば要求されている。
【0025】 図4のステージ200dにおいて、ワークピース20は、材料40a上に被覆
40bを供給することにより更に処理されている。好ましくは、被覆40bは、
全体の厚さの変化を低減させるように材料40a,40bの全体的な厚さを更に
増加させるシリカを基本とする材料の供給を継続するものである。より好ましく
は、HDP堆積は、少なくとも6.0:1(堆積:エッチ)のエッチング対堆積
率で被覆40bを形成するようにして実施される。全体として、材料40aおよ
び被覆40bは、充填および被覆層60の範囲を定めている。注目すべきことと
して、充填材料40aおよび被覆40bは、互いに明瞭には区別できないであろ
うが、エッチング対堆積率における緩やかな変化に伴って発生することになるで
あろうように、HDPの堆積内で実用化される選択されたパラメータの緩やかな
遷移を表すであろう。充填材料40aを単独で用いるのに比べて、層60は通常
より均一な上表面62を有している。表面62は構成要素領域28を覆う層60
の領域64を定めている。表面62はまた、トレンチ32,34,36,38上
の溝66;および特徴部分32a,34a,36a上の頂部68をそれぞれ備え
る不均一な部分の領域を定めている。
【0026】 両端に尖頭のある矢印74は、領域64上の層60の最大の厚さT3max
表している。また、両端に尖頭のある矢印75は、頂部68上の層60の最大の
厚さT4maxを表している。具体的には、T4maxはT3maxよりも小さ
い。最小の厚さはT2minで示され、両端に尖頭のある矢印76によって表示
されている。両端に尖頭のある矢印78は小さな特徴部分の段の高さΔ4を表し
、両端に尖頭のある矢印79は大きな特徴部分の段部の高さΔ5を表している。
層60の段部の高さの差はΔ6(Δ6=Δ5−Δ4)により表されている。具体
的には、Δ4,Δ5,Δ6は、Δ1,Δ2,Δ3とそれぞれ略々同じになってい
る。しかしながら、選択的な実施形態においては、Δ4,Δ5,Δ6の1つまた
はそれ以上がそれぞれΔ1,Δ2,Δ3と異なっていても良い。
【0027】 層60に関して、小さな特徴部分の段の厚さに対する高さの比率HTR2は、
Δ4/T4max(HTR2=Δ4/T4max)で与えられる。通常は、層6
0の最大の厚さは材料40aのみに関連することにより、HTR2はHTR1よ
りも小さくなっている。
【0028】 300ナノメータよりも小さいトレンチ幅(TWs)を有する1つの好適な実
施形態において、T3maxの対応する値は約500ナノメータである。他の好
適な実施形態において、充填材料40aは、約0.5よりも大きくはない厚さの
変形HTR1を確立するために堆積されている。より好適な実施形態において、
被覆40bは、約0.2よりも厚くないHTR2を提供するために材料40aに
適用されている。当然、他の実施形態においては、この発明の教示に従って、T
Wの異なる値、T1max,T1min,T2max,T2min,T3max ,T4max,Δ1,Δ2,Δ3,Δ4,Δ5,Δ6や、HTR1およびHTR
2の異なる値が得られても良い。
【0029】 1つの選択的な実施形態において、被覆40bはシリカを基材とする材料が堆
積されたHDPではない。その代わりに、この実施形態では、被覆40bが、テ
トラエチロースシリケイト(TEOS)を含む誘電物質の低圧力化学的気相法(
LPCVD)により形成されている。1つの適用例においては、TEOSは少な
くとも500ナノメータの厚さで被覆40bとして用いられる。その代わりに、
層60の多数の化合物としての変形が、例えばHTR2により計測されるような
厚さの不均一を低減させるため、この発明にしたがって実用化されても良いこと
は想像されることである。HDPのエッチング対堆積率を変化させながら層60
を選択的に形成しているので、層60は、通常は一定のエッチング対堆積率を実
用化するHDP堆積により形成され得るものである。他の選択的な実施形態にお
いては、層60は2つまたはそれ以上の連続または不連続の形態による材料、構
成物質、膜、または被覆の何れかにより構成されていても良い。
【0030】 ワークピース20は図におけるステージ200eで示される形態を提供するた
めにステージ200d後に更に処理されている。この追加的な処理は、マスク2
7の上面部分27aが再び露出されるまで、層60を平面化処理することを含ん
でいる。好ましくは、平面化は、層60の1つまたはそれ以上の構成物質の除去
と互換性を有する化学的機械的研磨(CMP)を含んでいる。マスク27のレベ
ルまでの平面化処理の後に、再び露出された特徴部分28a,32a,34a,
36aのわずかに下側に位置する分離構造表面82,84,86,88を有する
充填トレンチパターン80が結果として残される。
【0031】 従来のやり方(例えばΔ3を減少させる)よりもΔ2/T1maxに近づける
ようにして、充填材料40aの小さな特徴部分の段部の厚さに対する高さの比率
HTR1を増加させること、したがって、層60の不規則に輪郭が形成された表
面を変名化するのに費やす時間がそれに比例して減少するような層60の小さな
特徴部分の段部の厚さに対する高さの比率HTR2を変化させること、は見いだ
されることである。事実、被覆60の厚さは、マスク27の再露出に基づく平面
化の許容し得る度合いを提供するために、経験的に決定される所望の段部の厚さ
に対する高さの比率を提供するために調整されていても良い。同時に、層60の
厚さが平面化の動作を不必要に延長することが大きくならないようにすることも
望まれることである。このようにして、所定の形状的および合成的な配置のため
に、所望の絶対的な厚さが具体的には変化するであろう。この発明の1つの好ま
しい実施形態として、約0.2以下の段部の厚さに対する高さの比率が所望の結
果を提供するが;その反面、他の実施形態では0.2よりも大きい段部の厚さに
対する高さの比率が許容可能であることを見いだすことができよう。
【0032】 層60に所望の厚さを与えることは、この発明にしたがった幾つかの方法によ
り実行され得るでろう。例えば、HDP堆積が行なわれたときに、堆積:エッチ
ング率、堆積レートまたはその両方が、所望の厚さを提供するために制御される
ようにしても良い。層60がTEOSを含む実施形態のためには、層60の厚さ
は、前記TEOS堆積を制御することにより付加的または選択的に調整されても
良い。他の実施形態において、層60の厚さは、この層60の個別の構成物質に
基づいてこの技術分野の熟練者にとって生ずるであろうようなそのような技術を
用いて調整および制御されても良い。更に他の実施形態において、層60の厚さ
は、この発明の1つまたはそれ以上の形式、アスペクト(相)、特徴に関連する
事項に代わって、それ以外のものを含んでいても良い。
【0033】 ステージ200eの後に、装置20は、図6に示すステージ200fで表され
ている形式を提供するために更に処理されている。この処理は基板22を再露出
するためにマスク27の除去を含んでいる。シリカ基本層24および窒化シリコ
ン層26の結合による好適なマスクの構成成分について、マスク27は、再びフ
ッ化水素酸(フッ酸―HF―)に引き続く、フッ化水素(HF)とリン酸(H PO)との連続を構成するウェット処理技術により良好に除去される。
【0034】 装置20は、充填分離トレンチ92,94,96,98の形による電気的な分
離構造90を提供するために更に平面化されている。充填分離トレンチ92,9
4,96,98は、平面化処理の後に基板表面22aと略々同一平面となる平面
的な表面92a,94a,96a,98aをそれぞれ全体として有している。他
の実施形態において、分離構造90の表面は、基板表面22aから突き出るかま
たは延長するように残されていても良い。
【0035】 ステージ200fで、装置20は、集積回路100a(部分的に示される)を
提供するために構成要素の領域28内に形成された構成要素100をもまた含ん
でいる。構成要素100は、絶縁ゲート電界効果トランジスタ(IGFETs)
120a,120bを含んでいる。IGFETs120a,120bは、基板2
2から延長する対応のゲート構造121を有している。各構造121は、導電性
ゲート部材122と、誘電性のゲートパッド124と、1対の対向する側壁スペ
ーサ126とを備えている。好ましくは、ゲート部材122は、堆積された多結
晶シリコン(これはまた、「ポリ」または「ポリシリコン」と表示される)によ
り構成されている。パッド124は標準的なゲート酸化物により形成され、スペ
ーサ126は例えばシリコン酸化物または窒化シリコンのような誘電性の材料か
ら形成されていることが望ましい。好ましくは、構造121は、この技術分野の
熟練者によく知られた標準的な技術を用いて形成されている。基板22はIGF
ETs120a,120bのために所望の半導体接合の領域を定めるために選択
された領域内を異ならせるようにして不純物を添加されている。不純物が添加さ
れた基板領域130は、IGFETs120a,120bによって占有されたソ
ース/ドレインの領域を定めている。さらに、不純物が添加された基板領域13
2は、IGFET120aのための分割されたソース/ドレインの領域を定めて
おり、不純物が添加された基板領域134は、IGFET120bのための分割
されたソース/ドレインの領域を定めている。
【0036】 領域130,132,134は、IGFETs120a,120bのパッド1
24の下側の基板チャネル領域140a,140bのために望ましいp−または
n−不純物の反対の導電型を有する適切なn+またはp+不純物を備える基板2
2に選択的に注入することにより形成されることが望ましい。領域130,13
2,134は、この技術分野における熟練者によりよく知られた技術を用いてス
ペーサ126の下側の低濃度不純物ドレイン(LDD―Lightly Doped Drain―
)領域を提供するために形成されていても良い。好ましくは、これらのIGFE
Ts120a,120bはそれぞれ、0.25ミクロン以下の歩留まりないし臨
界寸法(Critical Dimension)を有している。
【0037】 ステージ200fの後に、ワークピース20は、集積回路装置として用いるた
めにそれを完成させるための更なる処理が行なわれる。1つの実施形態において
は、電気的な接合がステージ200fの後で選択的に形成されている。接続領域
は、例えばタングステン(W)、チタン(Ti)、コバルト(Co)、タンタル
(Ta)、またはプラチナ(Pt)と接触するように適当な金属膜を堆積させる
ことによってシリコン表面に沿って形成されていても良い。ワークピース20は
、その後、この技術分野の熟練者により知られた技術を用いてシリサイド/ポリ
サイド膜を形成するのに適当なようにアニールされる。1またはそれ以上の金属
化層が、構成要素100に選択的に接触および相互接続するように組み立てられ
ていても良く、外部接続パッドが他の装置に対する電気的な相互接続のために組
み立てられていても良い。ワークピース20は、選択された製造動作の実行の後
に、多数の個別の反動哀愁席回路のチップへと分割される半導体ウェハの一部分
であっても良い。電気的な相互接続が形成された後に、いかなる確立された接触
パッドが適切なものとして、例えばワイヤボンドのような外部の電気的な相互接
続として形成されることを含んで、パッケージが行なわれる。
【0038】 ワークピース20が僅かな数の個別の集積回路構成要素100,すなわちトラ
ンジスタ120a,120bのみを示す部分図面に示されていることは理解され
るべきである。他の実施形態は、示されているものよりもたくさんの量または他
種類の集積回路の構成素子を有していても良いが、しかしながらこのような追加
的な特徴を図示することは、必要もないのに形状を完全にすることにもなろう。
同様に、この技術の分野における熟練者にとって生じるような異なるアクティブ
またはパッシブ集積回路構成素子が、付加的または選択的に含まれても良いが、
これらの構成素子は明瞭さを増すためには特に詳細には説明されていない。さら
に、絶縁構造90の異なる数、配置または空間を占めることは、この技術分野で
の熟練者にとって生じるであろうように他の実施形態において実用化されても良
い。事実、好適な実施形態において、ずっとより多い絶縁構造90が基板22に
沿った対応する数のアクティブ構成素子の領域を定めるために用いられるであろ
うことは想像されることである。
【0039】 また、他のタイプの絶縁構造が、この発明における構造に混合され、結合され
ていても良い。他の非限定的なアスペクトにおいて、この発明のエッチングは、
絶縁構造が要求される如何なる装置に適用されても良い。事実、他の選択的な実
施形態においては、この発明による絶縁構造は、従来の構成素子または回路構成
の何れかを欠くであろう集積回路を有するかまたは装置を提供しようとしない、
ような異なるタイプの装置を形成するために用いられている。
【0040】 この発明の開示された実施形態の実現は、図面における図示されたプロセスの
流れに限定されない。この発明による装置の準備は、この技術分野における熟練
者にとって知られた他のプロセスの流れにより具体化されても良い。更に、この
発明のプロセスは、この発明の精神から遊離することなく、この技術分野におけ
る熟練者にとって生じるであろうように、部分的に変えたり、アレンジしたり、
構成し直したり、削除されたり、重複されたり、結合されたり、または他のプロ
セスに付加されたりしても良い。付加的または選択的に、これらのプロセスにお
ける種々のステージ、手続き、技術、位相および動作は、この技術分野における
熟練者にとって生じるであろうように、部分的に変えたり、アレンジしたり、構
成し直したり、削除されたり、重複されたり、結合されたりしても良い。
【0041】 この明細書に記載された刊行物、特許、および特許明細書の全ては、個別の刊
行物、特許および特許明細書の各々が参考文献により組み入れられるべきものと
して、さらにこの中に全体として記載されているものとして特別にかつ個別的に
表示されているように、参考文献として組み入れられるものとする。さらに、こ
の発明は、この明細書中に提供されている表現された動作の理論やメカニズムの
如何なるものにも限定されるようなものではない。この発明は上述した記載や図
面に詳細に表示され説明されているが、同様の内容が表示可能であり文字により
限定されるべきではなく、また、上述した請求項により限定されるこの発明の範
囲内で展開される全ての変形、変更および均等物が保護されるべきものとして要
求されているように示され、説明されているであろうことは理解される。
【図面の簡単な説明】
【図1】 本発明のプロセスの選択された段階を示す部分断面図である。
【図2】 本発明のプロセスの選択された段階を示す部分断面図である。
【図3】 本発明のプロセスの選択された段階を示す部分断面図である。
【図4】 本発明のプロセスの選択された段階を示す部分断面図である。
【図5】 本発明のプロセスの選択された段階を示す部分断面図である。
【図6】 本発明のプロセスの選択された段階を示す部分断面図である。
【符号の説明】
20 ワークピース 22 半導体集積回路基板 24 第1のマスク層 26 第2のマスク層 27 マスク 32,34,36 トレンチ 32a,34a,36a 特徴部分 46 溝 48 頂点 200 プロセス
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AG,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,BZ,C A,CH,CN,CR,CU,CZ,DE,DK,DM ,DZ,EE,ES,FI,GB,GD,GE,GH, GM,HR,HU,ID,IL,IN,IS,JP,K E,KG,KP,KR,KZ,LC,LK,LR,LS ,LT,LU,LV,MA,MD,MG,MK,MN, MW,MX,MZ,NO,NZ,PL,PT,RO,R U,SD,SE,SG,SI,SK,SL,TJ,TM ,TR,TT,TZ,UA,UG,UZ,VN,YU, ZA,ZW (72)発明者 ラミロ、ソリス アメリカ合衆国テキサス州、アンデラ、エ イチシー‐1、ボックス、759 (72)発明者 ハンター、ブルージ アメリカ合衆国テキサス州、サン、アント ニオ、インウッド、テラス、ドライブ、7 (72)発明者 ミケラ、エス.ラブ アメリカ合衆国テキサス州、サン、アント ニオ、ライトストーン、ドライブ、1107 (72)発明者 ビジャン、モスレヒ アメリカ合衆国カリフォルニア州、スタン フォード、ピー.オー.ボックス、7429 (72)発明者 ミリンド、ウェリング アメリカ合衆国カリフォルニア州、サンノ ゼ、アンバーグローブ、ドライブ、1510 Fターム(参考) 5F032 AA34 AA44 AA69 AA77 BA02 CA16 DA01 DA03 DA04 DA21 DA23 DA24 DA25 DA33 DA53 DA78 5F045 AA08 AB32 AC09

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 少なくとも1つの集積回路を製造するためにワークピースとしての基板に多数
    のトレンチを形成し、 前記トレンチを前記基板の中で互いに電気的に分離されるように多数の領域に
    定義し、 少なくとも約5のエッチング対堆積率をもって誘電性材料を同時に堆積しスパ
    ッタエッチングすることにより、前記複数のトレンチを少なくとも部分的に充填
    し、 ワークピースとしての前記基板を平面化することを備える方法。
  2. 【請求項2】 前記平面化はワークピースとしての前記基板を化学的機械的に研磨することを
    含むことを特徴とする請求項1に記載の方法。
  3. 【請求項3】 前記率は約5.5から約6.5の範囲である請求項1に記載の方法。
  4. 【請求項4】 前記率は約5.8から約6.2の範囲である請求項3に記載の方法。
  5. 【請求項5】 前記少なくとも部分的な充填の後で、前記平面化の前に、他の誘電性材料を堆
    積させることを更に含む請求項1に記載の方法。
  6. 【請求項6】 前記基板の上に第1の誘電層を堆積させ、 前記第1の誘電層の上に第2の誘電層を堆積させることを更に含み、 前記トレンチを形成することは、前記第1および第2の誘電層に前記トレンチ
    を提供するパターニングを含む請求項1に記載の方法。
  7. 【請求項7】 前記基板は単結晶シリコンより形成され、前記第1の誘電層は酸化シリコンよ
    り構成され、前記第2の誘電層は窒化シリコンより構成され、前記誘電性材料は
    シリカを基材とする化合物より構成され、前記平面化はワークピースを化学的・
    機械的に研磨するものである請求項6に記載の方法。
  8. 【請求項8】 前記平面化はワークピースを化学的・機械的に研磨するものであると共に、 前記平面化の前に、少なくとも部分的に誘電性材料により形成された誘電性被
    覆により前記ワークピースを覆い、 前記平面化の前に、前記ワークピースの所望の平面化の度合いにしたがって誘
    電性被覆の最小の厚さを確立し、 前記ワークピースを、約2.5ミクロンを超えることのない臨界寸法をそれぞ
    れが有する多数の絶縁ゲート電界効果トランジスタの製造を完了する請求項6に
    記載の方法。
  9. 【請求項9】 集積回路基板に多数のトレンチを形成し、 前記トレンチの中に、少なくとも約5の対エッチ堆積率を有する高濃度プラズ
    マを供給することにより、誘電性材料を堆積させ、 前記基板に沿って多数の回路構成要素を提供することを備える方法。
  10. 【請求項10】 前記トレンチは前記誘電性材料により少なくとも部分的にそれぞれ充填される
    ときに、前記基板は前記トレンチにより互いに電気的に絶縁された多数の領域の
    範囲を定める請求項9に記載の方法。
  11. 【請求項11】 前記率は約5.5から約6.5の範囲である請求項9に記載の方法。
  12. 【請求項12】 前記率は約5.8から約6.2の範囲である請求項11に記載の方法。
  13. 【請求項13】 前記堆積させることは、前記高濃度のプラズマによる前記誘電性材料による前
    記トレンチへの部分的な充填の後で、TEOS誘電材料を堆積させることを含む
    請求項11に記載の方法。
  14. 【請求項14】 前記トレンチの形成の前に、前記基板上に酸化シリコンにより構成された第1
    層を堆積させ、 前記トレンチの形成の前に、前記第1層の上に窒化シリコンにより構成された
    第2層を堆積させ、 化学的・機械的研磨により前記誘電性材料び一部分を除去することを更に備え
    る請求項11に記載の方法。
  15. 【請求項15】 集積回路基板に、互いに電気的に絶縁された多数の基板領域の範囲を定める多
    数のトレンチを形成し、 第1の対エッチ堆積率を有する高濃度のプラズマの照射により前記トレンチ内
    に誘電性材料を堆積させ、 前記トレンチを少なくとも部分的に充填した後に、前記基板上に前記誘電性材
    料を累積するために前記第1の率よりも大きな第2の対エッチ堆積率に前記高濃
    度プラズマを調整し、 前記基板を平面化するために前記誘電性材料の一部分を除去することを備える
    方法。
  16. 【請求項16】 前記基板に沿って多数の回路構成要素の形成を完了することを更に備える請求
    項15に記載の方法。
  17. 【請求項17】 前記第1の率は少なくとも5である請求項15に記載の方法。
  18. 【請求項18】 前記第1の率は約5.5から約6.5の範囲にある請求項15に記載の方法。
  19. 【請求項19】 前記トレンチの形成の前に、前記基板上に酸化シリコンより構成された第1の
    層を堆積させ、 前記トレンチの形成の前に、前記第1の層上に窒化シリコンより構成された第
    2の層を堆積させ、 前記トレンチの形成は、前記第1のおよび第2の層のそれぞれの開口を通過す
    るトレンチを区画する前記第1および第2の層をパターニングすることを含む請
    求項15に記載の方法。
  20. 【請求項20】 前記除去は化学的・機械的研磨により行なわれる請求項15に記載の方法。
JP2001517425A 1999-08-18 2000-08-18 集積回路分離構造の製造 Withdrawn JP2003507887A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/377,043 1999-08-18
US09/377,043 US6319796B1 (en) 1999-08-18 1999-08-18 Manufacture of an integrated circuit isolation structure
PCT/US2000/022698 WO2001013425A1 (en) 1999-08-18 2000-08-18 Manufacture of an integrated circuit isolation structure

Publications (1)

Publication Number Publication Date
JP2003507887A true JP2003507887A (ja) 2003-02-25

Family

ID=23487533

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001517425A Withdrawn JP2003507887A (ja) 1999-08-18 2000-08-18 集積回路分離構造の製造

Country Status (6)

Country Link
US (1) US6319796B1 (ja)
EP (1) EP1135800A1 (ja)
JP (1) JP2003507887A (ja)
KR (1) KR20010080226A (ja)
AU (1) AU6785600A (ja)
WO (1) WO2001013425A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004079819A1 (ja) * 2003-03-05 2004-09-16 Az Electronic Materials (Japan) K.K. トレンチ・アイソレーション構造の形成方法

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100375229B1 (ko) * 2000-07-10 2003-03-08 삼성전자주식회사 트렌치 소자분리 방법
US6504225B1 (en) * 2001-04-18 2003-01-07 Advanced Micro Devices, Inc. Teos seaming scribe line monitor
US6531377B2 (en) * 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6872633B2 (en) * 2002-05-31 2005-03-29 Chartered Semiconductor Manufacturing Ltd. Deposition and sputter etch approach to extend the gap fill capability of HDP CVD process to ≦0.10 microns
KR100478484B1 (ko) * 2002-10-09 2005-03-28 동부아남반도체 주식회사 반도체 소자의 트렌치 형성 방법
US7091103B2 (en) * 2002-12-09 2006-08-15 International Business Machines Corporation TEOS assisted oxide CMP process
US6982207B2 (en) * 2003-07-11 2006-01-03 Micron Technology, Inc. Methods for filling high aspect ratio trenches in semiconductor layers
US7098116B2 (en) * 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
KR100613372B1 (ko) * 2004-07-13 2006-08-21 동부일렉트로닉스 주식회사 반도체 장치의 소자 분리 영역 형성 방법
US7297640B2 (en) * 2005-01-13 2007-11-20 Chartered Semiconductor Manufacturing Ltd. Method for reducing argon diffusion from high density plasma films
KR100660325B1 (ko) * 2005-11-15 2006-12-22 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
JP2008210909A (ja) * 2007-02-26 2008-09-11 Toshiba Corp 半導体装置の製造方法
EP2537933A1 (en) 2011-06-24 2012-12-26 Institut National de la Santé et de la Recherche Médicale (INSERM) An IL-15 and IL-15Ralpha sushi domain based immunocytokines
US10529576B2 (en) 2017-08-17 2020-01-07 Semiconductor Components Industries, Llc Multi-faced molded semiconductor package and related methods
US11342189B2 (en) 2015-09-17 2022-05-24 Semiconductor Components Industries, Llc Semiconductor packages with die including cavities and related methods
US11404277B2 (en) 2017-08-17 2022-08-02 Semiconductor Components Industries, Llc Die sidewall coatings and related methods
US11393692B2 (en) 2017-08-17 2022-07-19 Semiconductor Components Industries, Llc Semiconductor package electrical contact structures and related methods
US11404276B2 (en) 2017-08-17 2022-08-02 Semiconductor Components Industries, Llc Semiconductor packages with thin die and related methods
US11361970B2 (en) 2017-08-17 2022-06-14 Semiconductor Components Industries, Llc Silicon-on-insulator die support structures and related methods
US11367619B2 (en) 2017-08-17 2022-06-21 Semiconductor Components Industries, Llc Semiconductor package electrical contacts and related methods
US11348796B2 (en) 2017-08-17 2022-05-31 Semiconductor Components Industries, Llc Backmetal removal methods

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4038171A (en) 1976-03-31 1977-07-26 Battelle Memorial Institute Supported plasma sputtering apparatus for high deposition rate over large area
US4515652A (en) 1984-03-20 1985-05-07 Harris Corporation Plasma sculpturing with a non-planar sacrificial layer
US4594769A (en) 1984-06-15 1986-06-17 Signetics Corporation Method of forming insulator of selectively varying thickness on patterned conductive layer
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5290396A (en) 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5397962A (en) 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
JP3311044B2 (ja) 1992-10-27 2002-08-05 株式会社東芝 半導体装置の製造方法
US5494857A (en) 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
FR2717307B1 (fr) 1994-03-11 1996-07-19 Maryse Paoli Procede d'isolement de zones actives d'un substrat semi-conducteur par tranchees peu profondes quasi planes, et dispositif correspondant
US5492858A (en) 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5560802A (en) 1995-03-03 1996-10-01 Texas Instruments Incorporated Selective CMP of in-situ deposited multilayer films to enhance nonplanar step height reduction
US5728631A (en) 1995-09-29 1998-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a low capacitance dielectric layer
US5702976A (en) 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
US5788869A (en) 1995-11-02 1998-08-04 Digital Equipment Corporation Methodology for in situ etch stop detection and control of plasma etching process and device design to minimize process chamber contamination
US5736423A (en) 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5665202A (en) 1995-11-24 1997-09-09 Motorola, Inc. Multi-step planarization process using polishing at two different pad pressures
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5639697A (en) 1996-01-30 1997-06-17 Vlsi Technology, Inc. Dummy underlayers for improvement in removal rate consistency during chemical mechanical polishing
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5851899A (en) * 1996-08-08 1998-12-22 Siemens Aktiengesellschaft Gapfill and planarization process for shallow trench isolation
US5691215A (en) 1996-08-26 1997-11-25 Industrial Technology Research Institute Method for fabricating a sub-half micron MOSFET device with insulator filled shallow trenches planarized via use of negative photoresist and de-focus exposure
US5710076A (en) 1996-09-03 1998-01-20 Industrial Technology Research Institute Method for fabricating a sub-half micron MOSFET device with global planarization of insulator filled shallow trenches, via the use of a bottom anti-reflective coating
US5792522A (en) 1996-09-18 1998-08-11 Intel Corporation High density plasma physical vapor deposition
TW408192B (en) 1996-10-02 2000-10-11 Winbond Electronics Corp Method for forming a film over a spin-on-glass layer by means of plasma-enhanced chemical-vapor deposition
KR100226736B1 (ko) 1996-11-07 1999-10-15 구본준 격리영역 형성방법
US5721173A (en) 1997-02-25 1998-02-24 Kabushiki Kaisha Toshiba Method of forming a shallow trench isolation structure
US5702977A (en) 1997-03-03 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method employing self-aligned and planarized trench fill dielectric layer
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5728621A (en) 1997-04-28 1998-03-17 Chartered Semiconductor Manufacturing Pte Ltd Method for shallow trench isolation
US5731241A (en) 1997-05-15 1998-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned sacrificial oxide for shallow trench isolation
US5741740A (en) 1997-06-12 1998-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation (STI) method employing gap filling silicon oxide dielectric layer
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6004863A (en) * 1998-05-06 1999-12-21 Taiwan Semiconductor Manufacturing Company Non-polishing sacrificial layer etchback planarizing method for forming a planarized aperture fill layer
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6759306B1 (en) 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004079819A1 (ja) * 2003-03-05 2004-09-16 Az Electronic Materials (Japan) K.K. トレンチ・アイソレーション構造の形成方法

Also Published As

Publication number Publication date
EP1135800A1 (en) 2001-09-26
KR20010080226A (ko) 2001-08-22
AU6785600A (en) 2001-03-13
US6319796B1 (en) 2001-11-20
WO2001013425A1 (en) 2001-02-22

Similar Documents

Publication Publication Date Title
JP2003507887A (ja) 集積回路分離構造の製造
KR100621255B1 (ko) 얕은트랜치분리를위한갭충전및평탄화방법
US5459096A (en) Process for fabricating a semiconductor device using dual planarization layers
US5302233A (en) Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5923993A (en) Method for fabricating dishing free shallow isolation trenches
US6348395B1 (en) Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
JP2004031905A (ja) 化学機械的ポリシングスラリー及びこれを用いた化学機械的研磨方法
US10510552B2 (en) Hard mask removal method
US6057207A (en) Shallow trench isolation process using chemical-mechanical polish with self-aligned nitride mask on HDP-oxide
JPH05275527A (ja) ポリッシュ工程を備えたトレンチアイソレーションの形成方法及び半導体装置の製造方法
US20060261041A1 (en) Method for manufacturing metal line contact plug of semiconductor device
US5911111A (en) Polysilicon polish for patterning improvement
KR100225550B1 (ko) 폴리쉬에 의한 평탄화공정을 포함하는 전자장치의 제조방법
KR100726746B1 (ko) 반도체 장치의 제조 방법
TW200415719A (en) Method of planarizing a semiconductor die
US6190999B1 (en) Method for fabricating a shallow trench isolation structure
EP0932190A1 (en) Method of plasma etching the tungsten silicide layer in the gate conductor stack formation
US5840623A (en) Efficient and economical method of planarization of multilevel metallization structures in integrated circuits using CMP
US6897121B2 (en) Method of removing HDP oxide deposition
CN117790318B (zh) 一种半导体器件及其制备方法
JP3257511B2 (ja) ポリッシュ工程を備えた半導体装置の製造方法
JPH0621054A (ja) 平坦化された多結晶シリコンゲートを備えた集積回路の製造方法
KR100578231B1 (ko) 다마신 게이트공정에서의 평탄화를 위한 반도체소자의제조 방법
JP3365114B2 (ja) 半導体装置におけるフィールド酸化膜形成方法、並びにフィールド酸化膜及びトレンチ素子分離領域形成方法
JP2001210710A (ja) 犠牲層を使用する浅いトレンチ分離の形成プロセス

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070816

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20080515

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090903