JP2003256488A - Lsiレイアウト方法、プログラムおよび記録媒体 - Google Patents

Lsiレイアウト方法、プログラムおよび記録媒体

Info

Publication number
JP2003256488A
JP2003256488A JP2002058279A JP2002058279A JP2003256488A JP 2003256488 A JP2003256488 A JP 2003256488A JP 2002058279 A JP2002058279 A JP 2002058279A JP 2002058279 A JP2002058279 A JP 2002058279A JP 2003256488 A JP2003256488 A JP 2003256488A
Authority
JP
Japan
Prior art keywords
timing
clock
flip
flop
logic circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002058279A
Other languages
English (en)
Inventor
Hidetaka Minami
英孝 南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ricoh Co Ltd
Original Assignee
Ricoh Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ricoh Co Ltd filed Critical Ricoh Co Ltd
Priority to JP2002058279A priority Critical patent/JP2003256488A/ja
Publication of JP2003256488A publication Critical patent/JP2003256488A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 タイミングドリブンレイアウトの手法でLS
I論理回路や配線のレイアウトを行う場合に、ノーマル
ファンクションのタイミングを崩さずレイアウトを行う
LSIレイアウト方法を提供する。 【解決手段】 このLSIレイアウト方法は、大規模集
積回路における論理回路や配線等を配置する際、ネット
リストおよびタイミング制約をもとに論理回路を配置し
て作成されたクロックツリーに対して(S4)、前記タ
イミング制約をもとにタイミング解析を行い(S5)、
セットアップまたはホールドが収束できていない箇所に
遅延調整用のバッファを挿入し(S7)、遅延調整を行
ったときのフリップフロップへのクロック到達時間を考
慮に入れて、フリップフロップのクロック到達時間の値
をもとに、スキャンチェーンをリオーダリングして(S
10)、スキャンチェーンを再構成するので、ノーマル
ファンクションのタイミングを保証した配線ができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、LSIレイアウト
方法、プログラムおよび記録媒体に関し、具体的には、
タイミングドリブンレイアウト手法でLSI論理回路や
配線等を配置する技術に関する。
【0002】
【従来の技術】近年、高性能化および小型化がはかられ
ている電子機器に使われる目的で、LSIはASICに
代表されるようにますます高集積化、高機能化の一途を
たどっている。従って、このLSIの回路パターンも、
ますます高集積化、複雑化してきており、設計したLS
I回路が正常に動作するか否かの確認作業も一層重要な
ものとなってきている。現在では、LSI回路作製工程
におけるレイアウトの段階で、一般には、論理、タイミ
ングを含めた確認がシミュレーションにより行われてい
る。LSI等の半導体集積回路を開発する場合、最初に
半導体上に論理回路の配置を行うためのマスクパターン
設計(以下、レイアウト設計と称する)が行われる。こ
のレイアウト設計を行う場合、従来から、論理合成シス
テムを用いて自動配置・配線して回路設計を行ってい
る。この自動配置を行うときに用いられる回路の接続情
報が蓄えられたネットリストは、論理的な動作検証、予
測配線長を基に計算された配線遅延を考慮した遅延検証
およびタイミング検証が行われ、これらの検証により確
認されたネットリストを基にレイアウト設計を行ってい
る。
【0003】一方、LSI内の論理回路およびクロック
信号線のレイアウトにおいては、高密度化、信号伝播遅
延時間の短縮および配線の混雑緩和のために配線を短く
することが要求される。また、クロック信号を必要とす
る論理回路に対しては、クロックスキューを抑制するた
めの最適な論理回路の配置や配線が要求されている。
【0004】
【発明が解決しようとする課題】しかしながら、近年の
半導体集積回路の高集積化に伴って、回路全体でのクロ
ックスキューを抑えることが困難になってきている。従
来、この大規模集積回路におけるスキュー対策として、
Local Clock Skewに対してUseful Skew Bufferを挿
入する手法がある。これは、フリップフロップの前段の
Setupが厳しく、後段でタイミング的な余裕がある
場合、フリップフロップのクロックを遅らせることによ
り、タイミングを収束させる手法である(図3参照)。
【0005】この手法では、回路全体としてのスキュー
が大きくなるため、スキャンのシフト動作が保証されな
い。また、従来のスキャンチェーンのリオーダリング
は、フリップフロップの配置の近いものから接続される
ため、Useful Skew Bufferを使用した場合のシフト動
作は保証されない。
【0006】特開平8−313595号公報の「テスト
回路生成方法」は、仮想モデルを使用して、クロックパ
スの関係を考慮し、遅延が大きい方を前段にもってくる
ようにスキャンパス生成を行うようにして、データのホ
ールドマージンを確保でき、クロックスキューによるス
キャンパスのミススキャンを防止し、より確実なテスト
回路を生成している。しかし、現状の微細化プロセスで
は仮想配線遅延が当てにならないのは周知の事実であ
る。また、タイミング制約をレイアウト時に読み込んで
いないため、スキャンチェーンのリオーダリングはクロ
ックの遅延値のみをもとにしている。そのため、リオー
ダリングの際に、フリップフロップの出力配線負荷が変
わり、ノーマル動作のタイミングバイオレーションが起
こる可能性が非常に高くなってしまう。
【0007】本発明は、上述した実情を考慮してなされ
たものであって、タイミングドリブンレイアウトの手法
でLSI論理回路や配線等のレイアウトを行う場合に、
ノーマルファンクションのタイミングを崩さずにレイア
ウトを行うLSIレイアウト方法、その方法を実行する
ためのプログラムおよびそのプログラムを記録したコン
ピュータ読み取り可能な記録媒体を提供することを目的
とする。
【0008】
【課題を解決するための手段】上記の課題を解決するた
めに、本発明の請求項1のLSIレイアウト方法は、大
規模集積回路における論理回路や配線等を配置する際、
ネットリストおよびタイミング制約をもとに論理回路を
配置して作成されたクロックツリーに対して、前記タイ
ミング制約をもとにタイミング解析を行い、セットアッ
プまたはホールドが収束できていない箇所に遅延調整用
のバッファを挿入し、遅延調整を行ったときのフリップ
フロップへのクロック到達時間を考慮に入れて、フリッ
プフロップのクロック到達時間の値をもとに、スキャン
チェーンをリオーダリングして、スキャンチェーンを再
構成することを特徴とする。
【0009】また、本発明の請求項2のLSIレイアウ
ト方法は、大規模集積回路における論理回路や配線等を
配置する際、論理回路の配置情報や配線情報等の接続関
係を表すネットリストとタイミング制約を読み込み、読
み込んだネットリストおよびタイミング制約の情報をも
とに、タイミングドリブンレイアウト手法で論理回路の
配置を行い、論理回路の配置後、フリップフロップのク
ロックに対してクロックツリーを張って、前記タイミン
グ制約をもとにタイミング解析した結果、セットアップ
またはホールドが収束できていない箇所がある場合、そ
の箇所のクロックラインに遅延調整用のバッファを挿入
し、この遅延調整用のバッファの挿入が完了した後、遅
延調整を行ったときのフリップフロップへのクロック到
達時間を考慮に入れて、フリップフロップのクロック到
達時間の値をソートすることにより、スキャンチェーン
をリオーダリングして、スキャンチェーンを再構成し、
遅延調整用のバッファの挿入時でもスキャンシフト動作
時のホールド割れを防ぐようにしたことを特徴とする。
【0010】また、本発明の請求項3は、請求項1また
は2に記載のLSIレイアウト方法において、前記スキ
ャンチェーンの再構成が終了しても、スキャンシフト動
作でホールド動作が割れる場合には、そのホールド動作
時の割れが起こったフリップフロップの出力端子と次段
のフリップフロップの入力端子間にホールド調整用のバ
ッファを挿入することを特徴とする。また、本発明の請
求項4のプログラムは、コンピュータに、請求項1、2
または3に記載のLSIレイアウト方法を実施させるた
めのプログラムである。また、本発明の請求項5の記録
媒体は、請求項4に記載のプログラムを記録したコンピ
ュータ読み取り可能な記録媒体である。
【0011】したがって、タイミングドリブンレイアウ
ト手法によりLSI論理回路や配線等を配置するとき
に、タイミング制約を考慮することにより、ノーマルフ
ァンクションのタイミングを崩す場所をみつけ、その場
所へUseful Skew Bufferを挿入してからリオーダリン
グを行うので、ノーマルファンクションのタイミングを
保証した配線ができる。その結果、スキャンシフト動作
のタイミングが収束しない場合には、スキャンチェーン
上にタイミング調整用のバッファを挿入することによ
り、スキャンシフト動作をも収束させることができる。
【0012】
【発明の実施の形態】以下、図面を参照して本発明のL
SIレイアウト方法の実施形態を説明する。 <実施例1>図1は、本発明のLSIレイアウト方法の
処理手順を示すフローチャートである。まず、設計者が
作成したLSI論理回路の配置情報や配線情報等の接続
関係を表すネットリストを読み込む(ステップS1)。
次いで、タイミング制約を読みこむ(ステップS2)。
このタイミング制約は、クロックとその周波数を定義し
ているものとする(図2参照)。
【0013】次に、タイミングドリブンレイアウト手法
で、読み込んだネットリストおよびタイミング制約の情
報をもとに、タイミング制約の厳しいところでは、配線
遅延を減らすように論理回路同士を近い位置に配置する
(ステップS3)。論理回路の配置後、論理合成システ
ムのクロックツリーシンセシスにより、フリップフロッ
プのクロックに対してクロックツリーを張る(ステップ
S4)。この時点で、先に読み込んだタイミング制約を
もとに、タイミング解析を行う(ステップS5)。ここ
でタイミング制約を参照するのは、ノーマルファンクシ
ョンのタイミングを崩さず、最適なリオーダリングを行
うためである。
【0014】タイミング解析した結果、セットアップま
たはホールドが収束できていない箇所があるかを調べる
(ステップS6)。この時点でスキャン関連のタイミン
グを考慮してしまうと、ノーマルファンクションに対し
て大きな改善が見込めないため、この遅延調整のときに
は、スキャン関連のタイミングは一切見ず、ノーマルフ
ァンクションのみを対象とする。
【0015】収束できていない箇所がある場合、その箇
所へUseful Clock Bufferを使用して、クロックライン
に遅延調整用のバッファを挿入する(ステップS7)
(図4参照)。クロックラインの遅延調整を行ったフリ
ップフロップおよびクロック遅延を記憶する(ステップ
S8)。
【0016】この遅延調整用のバッファの挿入が完了し
た後、遅延調整のときに記憶したフリップフロップへの
クロック到達時間を考慮に入れ、すべてのフリップフロ
ップのクロック到達時間を比較し(ステップS9)、フ
リップフロップのクロック到達時間の値をもとにソート
(ソートは昇順でも降順でもかまわない)して、スキャ
ンチェーンのリオーダリングを行い、スキャンチェーン
を再構成する(ステップS10)。このリオーダリング
に際しては、すでに作成されているスキャンチェーンを
一旦切断して行う。これにより、Useful Clock Buffe
rにより回路全体としてのクロックスキュー値が悪くな
ってもスキャンシフト動作時のホールド動作を保証する
ことができる。
【0017】<実施例2>しかし、Useful Clock Buf
ferを挿入した場合、上述の実施例1によるレイアウト
を行ってもフリップフロップのクロック到達時間の差に
よっては、スキャンシフト動作のタイミングを収束させ
られない(例えば、ホールド動作が割れる)場合があ
る。この場合には、そのホールド動作時の割れが起こっ
たフリップフロップの出力端子から次段のフリップフロ
ップの入力信号端子にデータライン遅延調整用のバッフ
ァを挿入する。実施例1の手法を使用せずにこのバッフ
ァ挿入を行うと、ゲート数が膨大に増加することが予測
されるが、実施例1によるスキャンチェーンのリオーダ
リングを行った後であれば、最低限のゲート数増加で済
む。
【0018】図4は、本発明によるLSIレイアウト方
法を実施するためのコンピュータシステムの構成を示す
ブロック図である。図4において、中央演算処理装置
(CPU)1、媒体読み取り装置2、キーボード等の入
力装置3、ディスプレイ等の表示装置4、ハードディス
ク等の記憶装置5およびメモリ6とがバス7によって接
続されている。上述した各実施例のLSIレイアウト方
法を実行するプログラムコードを記録媒体に記録し、そ
の記録媒体に記録されたプログラムコードを媒体読み取
り装置2や記憶装置5から読み取って、図4のコンピュ
ータへインストールし、そのプログラムをCPU1で実
行することにより容易に本発明を実施できる。この場
合、記録媒体から読出されたプログラムコード自体も上
述した実施形態の機能を実現することになり、そのプロ
グラムコードおよびそのコードを記憶した記録媒体は本
発明を構成することになる。
【0019】
【発明の効果】以上説明したように本発明によれば、タ
イミングドリブンレイアウト手法によりLSI論理回路
や配線等を配置するときに、タイミング制約を考慮する
ことにより、ノーマルファンクションのタイミングを崩
す場所をみつけ、その場所へUseful Skew Bufferを挿
入してからリオーダリングを行うので、ノーマルファン
クションのタイミングを保証した配線ができる。その結
果、スキャンシフト動作のタイミングが収束しない場合
には、スキャンチェーン上にタイミング調整用のバッフ
ァを挿入することにより、スキャンシフト動作をも収束
させることができる。
【図面の簡単な説明】
【図1】 本発明のLSIレイアウト方法の処理手順を
示すフローチャートである。
【図2】 タイミング制約の記述例を示す図である。
【図3】 Local Clock SkewとUseful Skew Buffer
の概念を説明するための図である。
【図4】 本発明によるLSIレイアウト方法を実施す
るためのコンピュータシステムの構成を示すブロック図
である。
【符号の説明】
1…中央演算処理装置(CPU)、2…媒体読み取り装
置、3…入力装置、4…表示装置、5…記憶装置、6…
メモリ、7…バス。

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 大規模集積回路における論理回路や配線
    等を配置する際、ネットリストおよびタイミング制約を
    もとに論理回路を配置して作成されたクロックツリーに
    対して、前記タイミング制約をもとにタイミング解析を
    行い、セットアップまたはホールドが収束できていない
    箇所に遅延調整用のバッファを挿入し、遅延調整を行っ
    たときのフリップフロップへのクロック到達時間を考慮
    に入れて、フリップフロップのクロック到達時間の値を
    もとに、スキャンチェーンをリオーダリングして、スキ
    ャンチェーンを再構成することを特徴とするLSIレイ
    アウト方法。
  2. 【請求項2】 大規模集積回路における論理回路や配線
    等を配置する際、論理回路の配置情報や配線情報等の接
    続関係を表すネットリストとタイミング制約を読み込
    み、読み込んだネットリストおよびタイミング制約の情
    報をもとに、タイミングドリブンレイアウト手法で論理
    回路の配置を行い、論理回路の配置後、フリップフロッ
    プのクロックに対してクロックツリーを張って、前記タ
    イミング制約をもとにタイミング解析した結果、セット
    アップまたはホールドが収束できていない箇所がある場
    合、その箇所のクロックラインに遅延調整用のバッファ
    を挿入し、この遅延調整用のバッファの挿入が完了した
    後、遅延調整を行ったときのフリップフロップへのクロ
    ック到達時間を考慮に入れて、フリップフロップのクロ
    ック到達時間の値をソートすることによって、スキャン
    チェーンをリオーダリングして、スキャンチェーンを再
    構成し、遅延調整用のバッファの挿入時でもスキャンシ
    フト動作時のホールド割れを防ぐようにしたことを特徴
    とするLSIレイアウト方法。
  3. 【請求項3】 請求項1または2に記載のLSIレイア
    ウト方法において、前記スキャンチェーンの再構成が終
    了しても、スキャンシフト動作でホールド動作が割れる
    場合には、そのホールド動作時の割れが起こったフリッ
    プフロップの出力端子と次段のフリップフロップの入力
    端子間にホールド調整用のバッファを挿入することを特
    徴とするLSIレイアウト方法。
  4. 【請求項4】 コンピュータに、請求項1、2または3
    に記載のLSIレイアウト方法を実施させるためのプロ
    グラム。
  5. 【請求項5】 請求項4に記載のプログラムを記録した
    コンピュータ読み取り可能な記録媒体。
JP2002058279A 2002-03-05 2002-03-05 Lsiレイアウト方法、プログラムおよび記録媒体 Pending JP2003256488A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002058279A JP2003256488A (ja) 2002-03-05 2002-03-05 Lsiレイアウト方法、プログラムおよび記録媒体

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002058279A JP2003256488A (ja) 2002-03-05 2002-03-05 Lsiレイアウト方法、プログラムおよび記録媒体

Publications (1)

Publication Number Publication Date
JP2003256488A true JP2003256488A (ja) 2003-09-12

Family

ID=28668293

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002058279A Pending JP2003256488A (ja) 2002-03-05 2002-03-05 Lsiレイアウト方法、プログラムおよび記録媒体

Country Status (1)

Country Link
JP (1) JP2003256488A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005136359A (ja) * 2003-10-31 2005-05-26 Semiconductor Energy Lab Co Ltd 半導体装置及び表示装置
US7444607B2 (en) 2004-11-26 2008-10-28 Fujitsu Limited Method for correcting timing error when designing semiconductor integrated circuit
US7480844B2 (en) 2005-03-18 2009-01-20 Fujitsu Limited Method for eliminating hold error in scan chain
JP2011145893A (ja) * 2010-01-14 2011-07-28 Nec Corp スキャンフリップフロップ追加システム及びスキャンフリップフロップ追加方法
JP2014161007A (ja) * 2013-01-24 2014-09-04 Semiconductor Energy Lab Co Ltd 半導体装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005136359A (ja) * 2003-10-31 2005-05-26 Semiconductor Energy Lab Co Ltd 半導体装置及び表示装置
JP4683833B2 (ja) * 2003-10-31 2011-05-18 株式会社半導体エネルギー研究所 機能回路及びその設計方法
US8143919B2 (en) 2003-10-31 2012-03-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a display device
US8704551B2 (en) 2003-10-31 2014-04-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a display device
US9166600B2 (en) 2003-10-31 2015-10-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a display device
US7444607B2 (en) 2004-11-26 2008-10-28 Fujitsu Limited Method for correcting timing error when designing semiconductor integrated circuit
US7480844B2 (en) 2005-03-18 2009-01-20 Fujitsu Limited Method for eliminating hold error in scan chain
JP2011145893A (ja) * 2010-01-14 2011-07-28 Nec Corp スキャンフリップフロップ追加システム及びスキャンフリップフロップ追加方法
JP2014161007A (ja) * 2013-01-24 2014-09-04 Semiconductor Energy Lab Co Ltd 半導体装置

Similar Documents

Publication Publication Date Title
US8015522B2 (en) System for implementing post-silicon IC design changes
US6651230B2 (en) Method for reducing design effect of wearout mechanisms on signal skew in integrated circuit design
US7254796B2 (en) Method for synthesizing domino logic circuits cross reference to related patent application using partition
US7944237B2 (en) Adjustable hold flip flop and method for adjusting hold requirements
JP2002259477A (ja) 半導体回路設計変更方法
JP2002312411A (ja) 論理合成装置および論理合成方法
US9449127B1 (en) System for verifying timing constraints of IC design
US20080250379A1 (en) Logic circuit synthesis device
US20070168900A1 (en) VLSI timing optimization with interleaved buffer insertion and wire sizing stages
JP2003256488A (ja) Lsiレイアウト方法、プログラムおよび記録媒体
JP2000277617A (ja) Asic設計方法およびasic設計装置
JP2005136286A (ja) 半導体集積回路の設計方法、及びその装置
JP2007072995A (ja) レイアウト装置、自動配置配線方法および半導体集積回路製造方法
JP2985833B2 (ja) クロック分配方式及び方法
JP2002009155A (ja) 半導体回路の設計方法及びそれを用いて設計する半導体回路
Weber My head hurts, my timing stinks, and I don’t love on-chip variation
US7509603B2 (en) Semiconductor integrated circuit and design method thereof
JP7069608B2 (ja) 半導体設計支援装置、半導体設計支援方法及びプログラム
JP2822741B2 (ja) クロック配線設計方式
JP2002259487A (ja) 多電源回路シミュレーション方法及び装置
JP2000150659A (ja) 半導体集積回路装置のレイアウト設計方法
JP2000231583A (ja) 論理合成方法及び装置
JP2000068381A (ja) Lsiの自動レイアウト方法、及びlsiの自動レイアウトプログラムを記録した記録媒体
JP4186559B2 (ja) スキャンフリップフロップ
JP3033763B1 (ja) 半導体集積回路の遅延低減配置処理装置および遅延低減配置処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080208

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080401