JP2002540599A - シリコン上のSiOベースの酸化物の室温湿式化学的成長 - Google Patents

シリコン上のSiOベースの酸化物の室温湿式化学的成長

Info

Publication number
JP2002540599A
JP2002540599A JP2000607258A JP2000607258A JP2002540599A JP 2002540599 A JP2002540599 A JP 2002540599A JP 2000607258 A JP2000607258 A JP 2000607258A JP 2000607258 A JP2000607258 A JP 2000607258A JP 2002540599 A JP2002540599 A JP 2002540599A
Authority
JP
Japan
Prior art keywords
mixture
oxide
sio
solution
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000607258A
Other languages
English (en)
Inventor
マリア フォール,
マーシア フォール,
デニス ジェイ. フラッド,
シェイラ ジー. ベイリー,
ホリア エム. フォール,
Original Assignee
スペシャル マテリアルズ リサーチ アンド テクノロジー, インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by スペシャル マテリアルズ リサーチ アンド テクノロジー, インコーポレイテッド filed Critical スペシャル マテリアルズ リサーチ アンド テクノロジー, インコーポレイテッド
Publication of JP2002540599A publication Critical patent/JP2002540599A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/3167Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself of anodic oxidation
    • H01L21/31675Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself of anodic oxidation of silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Compounds (AREA)

Abstract

(57)【要約】 本発明は、Si、Ge、III−VおよびI−III−VI化合物半導体を含む半導体基板上のSiOベースの絶縁体コーティングの室温湿式化学的成長(RTWCG)プロセス、特に、シリコンベースの電気的デバイスおよび光子工学的(photonic)(光電子工学的(optoelectronic))デバイスの適用の製品におけるSi上のSiOベースの膜のRTWCGに関する。本発明は、電気的デバイスおよび光子光学的(photonic)(光電子工学的)デバイスの適用のためのシリコン基板上でのSiOベースの絶縁体コーティングの室温湿式化学的成長(RTWCG)プロセスを開示する。このプロセスは、成長溶液中にSi基板を浸漬する工程を包む。このプロセスは、H2SiF6、塩化N−n−ブチルピリジニウム、酸化還元Fe2+/Fe3+水溶液および均一系触媒の混合物を利用する。

Description

【発明の詳細な説明】
【0001】 (発明の背景) 本発明は、Si、Ge、III−VおよびI−III−VI化合物半導体を含
む半導体基板上のSiOベースの絶縁体コーティングの室温湿式化学的成長(R
TWCG)プロセス、特に、シリコンベースの電気的デバイスおよび光子工学的
(photonic)(光電子工学的(optoelectronic))デバ
イスの適用の製品におけるSi上のSiOベースの膜のRTWCGに関する。
【0002】 米国政府は、NASA契約番号NAS3−97181によって本発明における
権利を有する。
【0003】 二酸化ケイ素(SiO2)は、プレーナー技術の基底を形成する。産業生産に
おいて、電子デバイス層または光子工学的デバイス層のための絶縁体コーティン
グは、900〜1200℃の範囲の温度でのケイ素(Si)の熱酸化により最も
頻繁に形成される。SiO2はまた、種々の基板上でより低い温度(200〜9
00℃)にて化学堆積法(CDV)技術により被覆される。
【0004】 熱成長およびCDV成長したSiO2ベースの層は、いくつか名称を挙げると
、デバイス接合を不動体化するための拡散マスクとして、絶縁体として、Si技
術における誘電物質として、そしてIII−V化合物半導体技術における包埋活
性化アニーリングのためのキャッピング層として使用される。
【0005】 低温での絶縁体膜の成長は、減少した資本コスト、ならびに高い生産高および
従来の高温成長/被覆技術を使用する誘電体薄膜の成長に関連する技術的拘束に
起因して、ほとんどのデバイス適用にとって非常に魅力的である。
【0006】 光子工学的デバイスのための誘電体膜は、当該分野で周知であり、そして物理
的被覆プロセスを使用して種々の基板上に室温付近で通常被覆され、このプロセ
スとしては、従来の(非反応性)または反応性の抵抗エバポレーション、誘導エ
バポレーションまたは電子ビームエバポレーション、反応性または非反応性のd
cまたはRFマグネトロンスパッタリングプロセスおよびdcまたはRFイオン
ビームスパッタリングプロセスが挙げられる。
【0007】 陽極酸化を使用する半導体表面上の絶縁体層の室温成長は、当該分野で公知で
ある。シリコンに対して、陽極酸化を使用して、200nmまでのSiO2層を
基層のSi基板上に成長し得る。この陽極酸化プロセスは、基層のSi基板から
約0.43の酸化物の厚みを消費し、そしてこれは、ほとんどのメタライゼーシ
ョンスキームと両立しない。このことは、熱蒸着または真空蒸着したSiO2
交換としてのその適用を制限する。
【0008】 溶液からのSiO2誘電体層の被覆は、当該分野で公知であり、これは有機金
属溶液を使用する。この手順において、誘電体層は、その溶液に基板を浸漬する
こと、または少量の溶液が基板上に適用された後にこの基板をスピンすることの
いずれかにより基板上へ適用される。両方の場合とも、次いで基板はオーブン中
に置かれ、溶媒を除去する。
【0009】 日本、中国および台湾出身の研究者らは、室温(30〜50℃)溶液成長を使
用して、ガラスおよびシリコン表面上へのSiO2およびSiO2-xx層の被覆
のための手順を記載する。ソーダ石灰ケイ酸塩ガラスの表面上のSiO2の被覆
のために、最初にThomsenらにより提唱された液相堆積(LPD)したS
iO2の成長は、水とのH2SiF6の化学反応に基づき、フッ化水素酸および個
体SiO2を形成する。最初のH2SiF6溶液は、SiO2粉末(通常、ゾルゲル
形態の)で飽和される。溶液中にガラスを浸漬する前に、フッ化水素ケイ酸(h
ydrofluorosilicilic acid)(例えば、ホウ酸)と反
応する試薬をその溶液に添加した。ホウ酸は、フッ化水素ケイ酸と反応し、そし
てシリカで飽和された溶液を作製する。
【0010】 上記のSiO2 LPD方法の主な不利益の1つは、約8nm/時間〜約24
nm/時間の非常に低い被覆速度であり、これは、ほとんどの半導体デバイス適
用のための絶縁体層の成長のための被覆を非実用的にする。110nm/時間ま
での被覆速度は、フッ化水素ケイ酸−水系で、Ching−Fa Yehらによ
り特許請求され、そして得られる膜の組成は、SiO2-Xxであると報告され、
ここで、xは約2%であった。LPD方法を使用する本発明者らの実験法は、L
PD SiO2がSi表面と乏しい接着しかしないようであることを表すようで
あり、そして本発明者らが得た最大成長速度は、報告された値より小さい(25
nm/時間未満)。報告された110nm/時間の被覆速度が可能であると仮定
しても、これらの被覆速度は、まだ小さすぎる。なぜなら、この蒸着速度が被覆
時間と共に一定であると仮定すると、ULSI間隔誘電体に必要とされる約1μ
mの厚みを有する酸化物を被覆するのに約9時間必要だからである。
【0011】 本明細書中で使用される場合、SiOベースの絶縁体層の、用語RTWCG手
順は、Sixyz(SiOX)層の室温(例えば、10℃〜40℃)湿式化学
的成長プロセスを意味し、ここで、使用されている酸化還元システムに依存して
、xは0.9〜1.1、yは0.9〜1.9そしてzは0.01〜0.2であり
、ここでSiはケイ素を表し、Oは酸素を表し、そしてXはフッ素(F)、炭素
(C)またはそれらの鉄(Fe)、パラジウム(Pd)もしくはチタニウム(T
i)夾雑との組み合わせを表す。
【0012】 (発明の要旨) 本発明は、半導体基板上での酸化ケイ素(SiO)ベースの薄膜誘電体の室温
湿式化学的成長(RTWCG)プロセス、特にシリコンベースの電子デバイスお
よび光子工学的(光電子工学的)デバイスの製造適用におけるシリコン上でのS
iOベースの膜のRTWCGに関する。
【0013】 デバイス製造工程と適合し、大きい成長速度、低い応力、シリコンおよび酸化
ケイ素コート表面に対する良好な接着を有し、長期間の空気曝露に対して安定で
あり、そして高温アニーリング、そして非常に良好な相似を有する電子的および
光子工学的(光電子工学的)デバイス適用のための室温湿式化学的成長(RTW
CG)プロセスを使用する酸化ケイ素ベースの膜を提供することが本発明の目的
である。
【0014】 超ラージスケールの集積(ULSI)シリコンベースのミクロ電子機器におけ
る2種以上の金属からなる誘電体(IMD)および中間レベル(interle
vel)の誘電体(ILD)としての使用のための低誘電率SiOベースの膜の
酸化ケイ素ベースのRTWCGプロセスを提供することがさらなる目的である。
【0015】 ULSIシリコンベースのミクロ電子機器のためのゲート誘電体として使用さ
れる超薄膜酸化ケイ素ベースのRTWCGプロセスを提供することがさらなる目
的である。
【0016】 光子工学的(光電子工学的)デバイス適用のための不動態層として使用される
薄膜絶縁体の酸化ケイ素ベースのRTWCGプロセスを提供することがさらなる
目的である。
【0017】 低費用シリコン太陽電池の製造のための、および他の光子工学的(光電子工学
的)デバイス適用のためのフロントグリッド金属化後の、不動態化/抗反射コー
ティングを成長させるための酸化ケイ素ベースのRTWCGプロセスを提供する
ことがさらなる目的である。
【0018】 多孔性シリコンコーティングされた光子工学的(光電子工学的)デバイスのた
めの不動態化膜として使用される酸化ケイ素ベースのRTWCGプロセスを提供
することがさらなる目的である。
【0019】 本発明に従うSiOXの高い成長速度は、シリコン源としての商用銘柄のH2
SiF6(34%)、N−n−ブチルピリジニウムクロリド(C914ClN)、
およびFe2+/Fe3+に基づくレドックス水溶液(例えば、K3Fe(CN)6
、ならびに鉄エチレンジアミン四酢酸(Fe EDTA)を使用して、平面状ま
たは多孔性シリコン上で増加する。便宜上、上記の分類の溶液を、本発明者らは
、基本的な成長溶液と呼ぶ。基本的な溶液(BS)は、シリカゲルのようなSi
2含有供給源で飽和されている。トリフルオロ酢酸パラジウムII[Pd(O2232](PdFAc)のような触媒およびH2TiF6水溶液を使用して、
成長速度を増加させる。NaOH、KOH、NaFおよびNH4FおよびHF溶
液を本発明に従って使用して、成長溶液のpHを調節する。Si表面上でのRT
WCG速度は、2nm/分〜64nm/分であり、溶液の組成、pH、およびS
i基板の結晶学的配向に依存する。成長層の化学組成は以下の一般式を有する:
Sixyz、ここで、Si、O、X、ならびにx、y、およびzの意味は、上
記で説明した通りである。
【0020】 (好ましい実施形態の詳細な説明) 表面OH基は、オキシド表面における化学反応についての最も重要な部位の1
つとして当業者に公知である。OH基は、オキシド表面での水分子の化学吸着に
よって形成される。水和機構が、吸着した水分子の解離に関係し、ここで、H+
イオンが、表面の酸素イオンに結合し、そしてOH-イオンが表面のシリコンイ
オンに結合する。SiOH基は、酸または塩基反応を受け得る。それらは、水素
イオンを受容して、正の電荷を有するSiOH2 +部位になるか、またはそれらは
、水素イオンを放出して、負の電荷を有するSiO-部位になる。それらの反応
は、以下のように書かれる:
【0021】
【化1】 SiOH2 +およびSiO-種の濃度は、水相のpHに依存する。SiOH2 +
はpH<7で増加し、SiO-種はpH>7で増加する。
【0022】 RCA洗浄の後で、かつ最終的な水でのリンスの前に、シリコン表面は、≡S
i−Hおよび≡Si−F結合によって、不動態化される。本発明者らは、「誘導
時間」を、時間間隔dt=tox−tinとして定義し、ここで、toxは、オキシド
堆積が開始された後の初期時間(tin)に対して参照される時間である。HF処
理された表面について、本発明者らは、10秒〜2分の誘導時間を見出した。反
対に、薄いもともとのオキシド(RCA洗浄していない)または熱成長SiO2
層のいずれかでカバーされた類似のシリコンサンプルに対して、誘導時間は、約
10秒〜20秒である。
【0023】 SiOXベース層のRTWCGの開始の前に、水素またはフッ素末端Si表面
について、Si−HおよびSi−F結合は、Si−OH結合基に転換されなくて
はならない。
【0024】 水素不動態化表面の水和機構は以下の反応に従うべきである:
【0025】
【化2】 ≡Si−F終端結合のために、水中でそのサンプルをリンスし、以下
【0026】
【化3】 の反応に従ってSi−F→Si−OHを起こさせた。
【0027】 最後の2つの反応から、HFの存在下でこの表面が、引き続く、下にあるSi
−O酸化物の表面からの表面Si原子の除去を伴う以下
【0028】
【化4】 の反応に従って、Si−O結合へのHF挿入を介してHF攻撃に供され得ること
は、明らかである。
【0029】 本発明者らは、結晶学的配向性、ドーピングの型および多数キャリア密度(c
oncentration)に依存する、HF浸漬、5〜10分の脱イオン水中
または1〜2分間の0.1%H22への基板のリンス後、全てのシリコン基板に
ついての誘導時間は、20秒未満であることを見出した。
【0030】 一旦、未反応の酸化物層の成長が開始すると、Si−HおよびSi−F結合は
、Si−OH結合に置き換わる。今度は、これらのSi−OH基は、Si−O−
Si結合角を形成することにより、ケイ素および酸素の成長溶液からの取り込み
を促進する。付随するSi−OH基はまた、酸素およびシリコンの取り込みプロ
セスをさらにスピードアップする水分子のための優先的な吸着部位として作用す
る。
【0031】 SiOX誘電体層の室温RTWCGプロセスの計画の際に、本発明者らは、以
下の条件をつけた: 半導体デバイスを害することが公知である元素の使用を避けるべきである;ケ
イ素(O、C、HおよびN)のみは、受容可能である、 SiOX酸化物の成長について、Si/絶縁体接触面での大きな状態密度を誘
導することが公知である金属不純物(例えば、Mg、TiおよびTa)は、避け
るべきである、 SiOX膜は、熱、湿度、ならびにUV光、酸素原子および高エネルギーの電
子および陽子のような電離放射線への長期曝露のような要素を除く還元雰囲気下
および酸化雰囲気下で安定であるべきである、 SiOX膜は、Si表面への雑莢物を含まない結合および十分な力学的強度を
有するべきである、 成長プロセスは、結晶の配向性、サイズおよび形状に関わらず、任意のSi表
面に適用可能であるべきである、 成長プロセスは、先進(advanced)Siデバイス(例えば、0.25
μm未満の重要な特色を有するVLSIマイクロエレクトロニクス)の加工順序
と一致するべきである。
【0032】 SiOX酸化物の高い被覆速度を、シリコン源として商用銘柄のH2SiF6
34%)、塩化N−nブチルピリジニウム(n−BPLC)および酸化還元Fe 2+ /Fe3+(10%)(例えば、K3Fe(CN)6 (KFeCN)およびFe
EDTA水溶液)を使用して被覆した。本発明の好ましい実施形態では、この
成長溶液は、2〜5容量部分の0.5M KFeCN水溶液および0〜4容積部
分の5%n−BPCL水溶液と2〜5容量割合の34%H2SiF6(HSiF)
とを混合することにより作製される。簡便化のために、本発明者らは、この溶液
を「基礎溶液(BS)」と呼ぶことにする。このBSは、SiO2含有供給源(
例えば、シリカゲル)または(NH42SiF6で飽和され得る。パラジウムI
Iトリフルオロ酢酸 Pd(O2232 (PdFAc)を、弱酸性溶液(4
〜6のpH)に適切である。Ti4+ベースの水溶液(例えば、ヘキサフルオロチ
タン酸 H2TiF6 (HTiF)、塩化チタン TiCl4 (TiCl)、
および(NH42TiF6 (NHTiF))は、本発明者らが、水溶液(3〜
8のpH)における成長のための適切な触媒であることを見出し、そしてその使
用は、SiOX RTWCG速度を感受的に増加する。NaF、KOH、NaF
およびNH4F溶液は、成長溶液のpHを3と10との間に調整するために本発
明に従って使用される。
【0033】 成長溶液の容積は、0.1〜0.25μmのSiOX酸化物の厚みに対して、
溶液と接触するSi表面(フォトレジストでカバーされた表面の部分を含まない
)の、0.2ml〜0.6ml/1cm2である。最も良い結果のために、この
溶液を、固体が完全に溶解するまで、そしてRTWCGの間、任意の実用的な手
段によって攪拌し、均一なコーティングを確実にする。
【0034】 図1において、表面から約30nmのスパッタリングの後、RTWCG Si
OX酸化物(約2×1019cm-3の(NA−ND)を有する、(111)p型Si
:B上でA4:Pd溶液を用いて成長させた)のXPS表面サーベイが与えられ
る。A4:Pd RTWCG溶液の組成は:5容積部の34%HSiF、3容積
部の5%n−BPCl、2容積部の30%HNO3溶液、1容積部のCH3COO
H、0.1グラムのKFeCNおよび10mgのPdFAcである。
【0035】 成長の前に、この溶液を、磁気攪拌機を使用して10分間攪拌した。Si基材
の表面は、標準的なRCA洗浄を用いて洗浄し、そして脱イオン(DI)水で1
0分間リンスした。3分後、暗闇中で、楕円偏光法によって測定された酸化物の
厚みは89nmであり、これは約30nm/分の成長速度に対応する。しかし、
より長い成長時間に対しては、この成長速度は減少し、10分間の成長時間後は
約12nm/分に達する。成長の間に取り除かれる、下にある基材の厚さは、8
9nm厚の酸化物に対しては約20nmであり、そして123nm厚の酸化物に
対しては約32nmであった。両方の場合において、これは酸化物の厚さの約2
5%である。このことは、酸化物形成プロセスが、単純な化学的な酸化ではない
ことを意味する。なぜなら、この場合において、除去された層のSi表面からの
厚さは、酸化物の厚さの少なくとも43%であるべきだからである。この場合に
おける酸化物形成は、二重の化学的酸化および成長プロセスである。
【0036】 本発明者らは、A4:Pd溶液におけるRTWCG速度は、種々の結晶学的配
向性を有するシリコンウエーハに対して非常に優先的であることを見出した。例
えば、成長速度は、(111)Siについては、(100)Siと比べて15倍
まで高く、そして(110)Siについては、(100)Siと比べて、4倍ま
で高い。XPSデータによって明らかになるように、上記の酸化物の化学組成は
、Siに富むSiOXである。表1において、89nm厚の酸化物の表面から約
30nmでのスパッタリング後に記録された、いくつかの定量的なXPSデータ
(酸化物の主要成分の位置、標準面積および原子密度を含む)が示される。
【0037】
【表1】 (111)n−Si基材のA4:Pd溶液における、暗闇下での酸化速度は、
p−Siの酸化速度と比較すると非常に小さい。約100mW/cm2の可視光
下で、n−Si上の「成長」速度は、p−Si基材と比較するとほぼ同じであっ
た。両方の伝導度型(111)Si基材については、除去された下にあるSi基
材の厚さは、酸化物の厚さの25%までであり、これは、化学的酸化還元プロセ
スが、化学的酸化物の形成に関与していることを示唆する。A4:Pd溶液はま
た、(100)Si基材および(100)Si基材上のSiOX層を成長させよ
うと試みた。上記のように、これらの場合の成長速度は、(111)Si基材上
の成長速度よりもかなり遅い。このため、(100)Si基材上では、酸化物は
、優先的に欠損領域で成長し、この領域は(111)平面を示す。このアート効
果は、(100)Si表面上の表面欠損型および密度を示すための、非破壊的か
つ非常に正確な方法のために使用され得る。
【0038】 SiOX誘電体層のより高いRTWCG速度は、pHが4と9との間に保たれ
る場合に、穏やかなBSベースの溶液中で記録される。この場合、種々の結晶学
的な配向における成長速度と、ドープの型とSi表面の大部分のキャリア濃度と
の間の差異は、比較的小さい。同様のキャリア濃度における同様の結晶学的配向
については、暗闇において、成長速度は、p型Bドープ基材については、n型P
ドープ基材と比較して、5%〜8%大きい。同様のドープ型およびドープ濃度に
ついては、異なる結晶学的配向のSi基材上の成長速度において、わずな差異が
存在する。約2×1019cm-3の(NA−ND)を有する(111)p型Bドープ
Si上の成長速度は、実質的に同様のキャリア濃度の(100)p−Si上の成
長速度と比較して、5〜7%高いことが見出された。
【0039】 図2は、BS−B15溶液中p型Si上で成長させた、RTWCG SiO酸
化物(112nm厚)のXPS表面サーベイである。成長溶液は、2重量部の3
4%HSiF、2重量部の5%n−BPCl水溶液、1重量部の0.5M KO
H水溶液、および0.3gM KFeCN/100ml溶液を混合することによ
って作製した。成長時間は4分間であり、そして38nm/分の成長速度であっ
た。235nmの酸化物厚については、成長速度は、約35nm/分であった。
表2は、図2の酸化物の表面で記録された、いくつかのXPSデータを与える。
【0040】
【表2】 上記の酸化物は、C、Fe、NおよびF不純物を有するSiに富むSiOXであ
るとされ得る。Kは、表面において検出されなかった。サンプルの表面から30
nmでのスパッタリングの後、Fe、CおよびSi濃度は、それぞれ0.038
%、1.93%、および50.26%まで減少したが、F、N、およびO濃度は
、それぞれ0.34%、0.65%、および46.78%まで減少した。
【0041】 塩基性BS溶液を用いてp−Si上で成長させた酸化物の定量的XPS分析は
、酸化物層の表面に含まれる炭素は、1.5〜2倍大きいファクターであるが、
FeおよびF不純物は、KOH溶液にNF4F溶液が添加される場合、5〜10
のファクターで減少する。しかし、NH4Fベースの溶液の成長速度は、KOH
ベースの溶液と比較すると、かなり顕著に減少する。NaF溶液の添加により、
F混入を増加させることなく、被覆速度をさらに減少させる。図3は、BS−B
19溶液中p型Si上で成長させた、RTWCG SiOX酸化物(約12nm
厚)のXPS表面サーベイである。BS−B19成長溶液は、5容積部の34%
HSiF、3容積部の5%n−BPCl水溶液、3容積部の0.5M KOH、
各々1容積部の0.1M NH4F水溶液および0.1M NaF水溶液、なら
びに0.5gM KFeCN/100ml溶液を混合することによって作製した
。成長時間は6分間であり、そして成長速度は、わずか約2nm/分であった。
図4は、この酸化物の深さプロフィールを示す。SiOに富む酸化物の表面にお
いて、Cは、Siに置き換わる。C濃度は、表面における約8.3%から、酸化
物/Si界面における0付近まで減少する。N濃度は、酸化物の表面における0
.27%から、酸化物/Si界面における約1.5%まで増加する。大きい表面
C濃度および比較的大きいN界面濃度は、それぞれ優れた化学的安定性、UV安
定性および熱安定性ならびに、実施例5に示されるような、この酸化物の優れた
表面パシベーション能を説明し得る。
【0042】 本発明者らの実験データは、薄膜RTWCG SiOXベースの酸化物は、多
孔性シリコン(PS)上で成長し得、そしてこれらのコーティングの使用は、エ
ージングに対するPS材料の安定性を増加させることを示す。RTWCG薄膜S
iOX層は、弱酸性BS溶液および弱塩基性BS溶液の両方において、PS上で
比較的容易に成長する。本発明の好ましい実施形態においては、成長溶液BS−
PS6は、5容積部の34%HSiFと3容積部の5%n−BPCl水溶液、3
容積部の0.5M KOH、1容積部の0.1M NaF水溶液を混合すること
によって作製した。次いで、0.2g KFeCN/100ml溶液を添加する
。この溶液を、KFeCN結晶が完全に溶解するまで攪拌する。図5は、SiO
XコートしたPSの表面サーベイである。PSは、HFおよびHNO3に基づく
溶液を用いる染色エッチングの公知プロセスによって、(100)p−Si基材
上に化学的に形成させた。次いで、RTWCG SiOX薄膜を、上記のBS−
PS6溶液を用いてPS表面上に成長させた。表3は、図5の酸化物の表面で記
録されたXPSデータを与える。
【0043】 PS表面のトポグラフィーのため、カラーコードからのみ推定された成長速度
は、40nm/分〜50nm/分であった。BS−PS6溶液を用いる平面p−
Si基材上の成長速度は、PS上での推定成長速度と比較して、少なくとも3倍
小さかった。さらに、同様のp−Si基材を用いて平面上で成長させた、酸化物
とPSの2つの酸化物の化学組成に、差異が存在する。例えば、平面Si上で成
長した52nm厚の酸化物のC表面濃度は、PS上で成長した酸化物の表面にお
ける36.5%と比較して、9.6%であった。また、表面におけるF濃度は、
平面表面と比較して、PS上で約2倍である。非常に正確なわけではないが、そ
の表面トポグラフィーのため、180秒間のスパッタリング(これは、約30n
mの除去に対応する)の後、Si、C、およびO濃度は、それぞれ39.283
%、18.895%、および37.803%になった。同じ深さでのFeおよび
F濃度は、それぞれ0.11%および0.646%まで減少したが、N濃度は、
0.443%になった。Cu 2p3線の原因は未知である。平面Si上で成長
した酸化物において明らかではないので、Cuは、染色エッチング工程の間、P
S中に導入され得ていた。これは、真実のようである。なぜなら、180秒間の
スパッタリングの後に、Cu濃度が2.821%まで増加したからである。PS
および平面Si上で成長した酸化物の組成において観察された上記の差異におけ
るCuの役割(それがある場合)は、さらなる研究に値する。
【0044】 本発明に従う、SiOX誘電体層の64nm/分までの高いRTWCG速度は
、pHが7と9との間に保たれる場合、弱塩基性BSベースの溶液を用いて可能
である。成長速度を増加させるための1つの方法は、成長溶液中に、H2TiF6 またはTiCl4または(NH42TiF6を用いることである。例えば、Si上
のRTWCG SiOX酸化物の成長速度を向上させるためにH2TiF6を使用
するBS:Ti溶液は、0〜4容積部の5%n−BPCl水溶液、1〜3容積部
の0.1M NH4F、0〜2容積部の0.1M NaF水溶液および0.5〜
2容積部の60%H2TiF6と混合した、3〜5容積部の34%HSiFから作
製する。次いで、0.1〜1g KFeCN/100ml溶液を添加する。この
溶液は、KFeCN結晶が完全に溶解するまで攪拌する。
【0045】
【表3】 図6は、BS−Ti34溶液においてp型Siにおいて成長された、RTWC
G SiO酸化物(約70nmの厚み)のXPS表面測定である。この成長溶液
は、5体積部の34%HSiFと、2体積部の5%n―BPCl水溶液、それぞ
れ1体積部の0.1M NH4Fおよび0.1M NaF水溶液、2体積部の6
0% H2TiF6、および1g/KFeCN/100ml溶液とを混合すること
によって行った。この実施例において必要とされるH2TiF6の量およびKFe
CNの量より多くの量を、成長酸化物に含まれるTiおよびFeの酸化段階を研
究するために選択した。成長時間は、75秒であり、酸化物の厚みは70.4n
mであり、従って、成長速度は、56.3nm/分であった。同じ溶液で同時に
成長させたが、5分後に抽出した酸化物は、285nmの厚みを有し、これは、
57nm/分の成長速度である。表4は、図6の酸化物の表面から13nmをス
パッタリングした後に記録したXPSデータを与える。
【0046】
【表4】 上記の酸化物成分の深さのプロフィールを得た。表面において、Fe、Cおよ
びNの濃度は、それぞれ約11.5%、38%、および27%であった。上部2
6nmにおいて、N(これは、依然として界面近くにおいて0.58%の濃度を
有した)を除いて、それらの濃度をそれぞれ2%より下に着実に減少させ、そし
てそれらの濃度を酸化物/Si界面に向かってゼロに減少させ続けた。Tiおよ
びFの濃度が酸化物の表面において最小(例えば、2.6%(Ti)および0.
15%(F))を有し、次いで、それらの濃度は、40nmに向かって着実に増
加(それらは、4.8%(Ti)および4.4%(F)の最大値を有した)し、
そして、それらの濃度は、界面に向かって着実に減少し、Fがより大きな率であ
る。高いTiおよびFeの濃度は、TiおよびFeが酸化物として存在する場合
を除いて、大部分のデバイス用途についてこの酸化物を推奨しない。Fe 2p
3、およびTi 2pピークの閉じた実験(closed examinati
on)(図7a、および図7b)は、酸化物の表面に向かってTiおよびFeが
金属成分および酸化物成分の混合物であることを示唆する。しかし、酸化物/S
i界面に向かって、TiとFeの両方が完全に酸化物形態であるようである。
【0047】 本発明の前記および他の目的、特徴および利点は、本発明の好ましい実施形態
の以下のより詳細な実施例から明らかである。
【0048】 (実施例1.超大規模集積(ULSI)シリコンベースのマイクロエレクトロ
ニクスに対する金属間誘電体(IMD)およびレベル間誘電体(ILD)につい
ての低誘電率SiOX薄膜) 集積回路小型化は、より速い速度およびより高い効率への探求において半マイ
クロレベルより十分下に続いている。このような小さな寸法において、比較的高
い誘電率および従来の層間誘電材料の得られた静電容量は、信号速度を限定する
ように作用し、混線(cross−talk)を作り出し、そして過度の電力の
消費させる。
【0049】 最近の20年ほどにおいて、熱酸化によって成長されたSiO2に対する代替
のゲート誘電体に対する研究が続いており、これは、高速度、高性能大規模集積
(LSI)、超大規模集積(VLSI、ULSI)回路プロセスのような一連の
用途に必要とされる。この探求は、SiO2層に対する代替および0.25μm
のプロセス技術が続けられ、マイクロエレクトロニクスを超える。高密度プラズ
マCVD(HDP−CVD)堆積SiOFが、すでに0.35μmのギャップを
満たすために使用されており、これはまた、0.25μmのギャップおよび0.
18μmのギャップに対する競争者であるが、この高密度プラズマCVD(HD
P−CVD)堆積SiOFは、化学的−機械的平坦化(CMP)プロセスと関連
した問題に起因にした0.25μmのギャップでさえ実施が非常に困難であるこ
とが示されている。従って、CMPプロセスを除くために完全平坦化マルチレベ
ル相互連結に対するSiOベースの膜の選択的成長は、VLSIおよびULSI
デバイス用途に対して非常に魅力的である。
【0050】 0.25μm、0.18μm、0.13μm、0.10μmおよびそれ以下に
ついて、研究が、2と3の間の誘電率を提供し得る種々の材料(例えば、少し挙
げると、フッ化ポリミド(polymide);非ポリミドC−IIポリマー;
フルオロポリマー;シロキサンポリマーおよびパリレン)で開発されている。こ
れらの材料のすべては、ちょうど現在完全に特徴づけされ始めており、これまで
、もっとも大きな共通の関心事は、比較的乏しいそれらの熱的安定性である。V
LSI(ULSI)用途のための従来の絶縁膜の大きな不利益には、以下が挙げ
られる: マルチレベル相互連結との乏しい適合性、 被覆後平坦化が、応力、ならびに化学汚染物質および粒子汚染物質を導入する
、 比較的乏しい熱、UV、およびプラズマ安定性、 高温;低成長(被覆)速度、 比較的乏しいステップ適用範囲(step coverage)、 高い投資費用。
【0051】 RTWCGプロセスおよび中程度の塩基性(pH8〜9)BS溶液を使用して
、本発明者らは、2〜4インチ(100)および(111)のp−Siおよびn
−Si基板上にSiOX絶縁体層を成長させ得た。これらの絶縁体層は、以下を
有する: 約0.01〜0.90μmの厚み(偏光解析器から決定した) 64nm/分までの成長速度 2.95と4.8の間の測定された静的な誘電率 非常に良好な均一性 良好な化学的および熱的安定性。
【0052】 図8において、選択された5個のSiOX化学酸化物の偏光解析データのプロ
ットが与えられる。比較のために、それぞれ同じp−Si基板上に成長/被覆さ
れた、熱的に酸化されたSiO2および抵抗性エバポレートされたSiOについ
てのプロットも与えられる。
【0053】 SiOXベースの絶縁体の室温成長に対するWCGプロセスの開発において、
液体分子の化学組成および化学構造的特徴は、適切な長さ、反応性、永久双極子
モーメントおよび表面張力が、制御可能な組成および厚みの安定な酸化物層の均
一な成長を可能にすることを確実にしなければならない。例えば、絶縁体膜が、
液体状態で成長するので、成長溶液の液体前駆体が適切に選択される場合、0.
25μmおよびそれを超える決定的な特徴ならびに高いアスペクト比を有する空
間内の表面張力が膜平坦化(自己平坦化(selfplanarization
)効果)を引き出す。これは、金属間誘電体膜、レベル間誘電体、およびULS
I Siベースのマイクロエレクトロニクスおよびナノエレクトロニクスの浅い
溝の(shallow trench)絶縁の自己平坦化成長プロセスの開発に
非常に重要である。
【0054】 上記要件は、流体力学、電気化学、および界面の物理などの複雑な学際的な理
論的および実験的研究を必要とする。例えば、錯体液体とナノ構造表面との間の
相互作用力の流れおよび範囲は、公知の液体の動力学および動的分子理論によっ
ては解決され得ない。さらに、内部流体圧力によって決定されるラプラス型の力
は、錯体液体分子と種々の幾何を有するナノ構造の表面との物理的−化学的相互
作用によって劇的に改変される。
【0055】 本発明者らは、RTWCG SiOX酸化物層が、Cuおよび銀ならびにフォ
トレジストを含む金属化スキームと適合性にされ得ることを証明し得た。その優
れたギャップ充填能力に起因して、この室温プロセスは、100nmおよびそれ
を超える特徴的なサイズおよび高いアスペクト比を有するULSIマイクロエレ
クトロニクスに対する完全に平坦化されたマルチレベル相互接続に対する選択さ
れたプロセスになり得る。これは、現在公知の技術では実行されていないようで
ある。
【0056】 静的誘電率を測定するために、本発明者らは、RTWCG SiOX酸化物を
使用するMOSコンデンサーを製造した。Al/成長したままの(as−gro
wn)RTWCG酸化物/p型Si/Au:Ti MOSコンデンサー(2×1
-4cm2〜2×10-3cm2)のゲート面積を有する)の製造のために、SiO
X酸化物は、オームバック接点(ohmic back contact)がな
された後に成長され、更なるアニーリング工程はMOSコンデンサーの製造に使
用されない。本発明者らはまた、本発明者らの測定した値の妥当性をチェックす
るために熱SiO2を使用してMOSコンデンサーを製造した。SiOXおよび
SiO2層の厚みは、Dektakプロフィラーを使用して測定した。
【0057】 表5は、溶液成長、参照熱SiO2および選択されたRTWCG SiOX酸
化物に対する酸化物の厚み、偏光解析器によって決定した平均屈折率(400〜
800nmの波長)、および1MHzで測定された静的誘電率を与える。
【0058】
【表5】 (実施例2.ULSIシリコンベースのマイクロエレクトロニクスに対するゲ
ート誘電体のためのSiOX薄膜のRTWCG) 最近20年ほどにおいて、高性能ULSIのような一連の用途に必要とされる
、熱酸化物によって成長されるSiO2に対する代替のゲート誘電体のための連
続的探求がある。SiO2は、すでに少し改変されたSiO2(すなわち、オキシ
窒化物(oxynitride))によって置き換われている。
【0059】 100nm以下の重要な特徴を有する電子デバイスの開発のために、絶縁体層
の厚みは、従ってスケールダウンされなければならないが、その不動体化(pa
ssivate)性質および誘電性質を維持する。例として、現在のMOSトラ
ンジスタのゲート誘電体としての使用のために、酸化物の厚みは、0.35μm
生成技術に対して6〜10nmの範囲であり、100nmおよびそれを超える技
術に対する4nm未満へのスケールにされる。本発明者らの理解では、すでに開
発されたまたは現在提案された絶縁体層はいずれも、100nm以下の重要な特
徴を有する高速、低電力ナノエレクトロニクス技術的な要件を満たすものはない
【0060】 本発明者らの予備的調査に基づいて、溶液BS−B19で成長されたRTWC
G SiOCN酸化物(図3および図4を参照のこと)は、低電力、高速集積ナ
ノエレクトロニクスのためのゲート絶縁体としての使用のための良い候補であり
得る。(100)p−Si基板上のこの酸化物の成長速度は、たった約2nm/
分であり、これは、4〜6nmの厚みの酸化物の成長における良好な厚み制御を
可能にするはずである。高い表面C濃度および比較的高いN界面濃度は、それぞ
れ優れた化学的安定性、UV安定性および熱的安定性、ならびにこの酸化物の優
れた表面不動体化能力を説明し得る。本発明者らはまだ測定していないが、その
化学的組成および偏光解析データに基づいて、本発明者らは、超薄膜SiOCN
酸化物が、3未満の誘電率を有することを見積もる。
【0061】 (実施例3.光子工学的(光電子工学的)デバイス適用のための表面パシベー
ション用SiOベース絶縁体) 絶縁層が、Si表面上に直接被覆される場合、その表面の良好なパシベーショ
ンを提供しなければならない。この事は、重要な局面であり、絶縁体層を開発す
る際に頻繁に見過ごされる。従来の誘電成長/被覆技術を使用して、減少した形
のサイズを有する未来のIC電子工学的および光電子工学的構造を達成すること
は困難である。本発明者らの予備的なデータに基づいて、充分に制御される場合
、新しいRTWCG技術により成長させたSiOX酸化物は、例えば無機および
有機スピンオンガラスと比較して、より良好な不動態化特性のSi表面を有する
。なぜならば、化学的成長は、近距離秩序を有する均一で鮮明な(well−d
efined)非晶質層を生じるためである。
【0062】 実施例2に記載したように、溶液BS−B19中で成長させたRTWCG S
iOCN酸化物は、優れた化学的、UV、および熱的安定性、ならびにそれぞれ
優れた表面パシベーション能を有する。本発明者らは、その不動態性を、いくつ
かのn+p Si太陽電池で試験した。溶液成長BS−B19および4分間の成
長時間を使用して、本発明者らは、2つの4cm2Si太陽電池上に厚さ72n
mのSiOCN酸化物を成長させた。これらの電池のうちの1つにおいて、短絡
光電流密度(JSC)において63.2%の利得が記録された(例えば、23.6
9mA/cm2(裸の表面)〜38.66mA/cm2)。この利得は、反射率の
減少から予測された利得よりかなり大きかった。別の電池については、同様の条
件で、62.8%のJSCにおける利得が記録された(上記の電池と同時に酸化さ
れた)。最初の電池の表面から酸化物を除去した後、JSCは、23.69mA/
cm2という最初の値と比較して、32.1mA/cm2になった。本発明者らは
、この約36.5%という相対的な増加を、この裸のSi電池の表面パシベーシ
ョンの特徴であるとし、このことは、反射率の差に起因する増加が、約27%だ
けであるということを意味する。これは単層ARコーティングを使用したJSC
おける報告された増加に匹敵するかまたは、この増加よりも優れている。
【0063】 (実施例4.Siベース平面太陽電池用の単層無反射コーティングとしての使
用のためのSiOベース絶縁体) 無反射(AR)コーティングは、反射光の量の実質的な減少を可能にするので
、太陽電池設計の最も重要な部分の1つである。Siについては、入射光の損失
は、長波長(1.1μm)において34%に達し、そして短波長(0.4μm)
においては54%に上昇する。適切な単層ARコーティングは、この波長範囲に
わたって平均して、反射を約10%に減少させ得、そして二重層ARコーティン
グは、平均で反射を約0.3%に減少させ得る。
【0064】 ARコーティングを被覆させるために物理蒸着技術を使用することは慣行であ
る。単純な電池の構造については、ARコーティングの層は、抵抗性(resi
stive)蒸発またはeビーム蒸発、スパッタリング、および化学蒸着により
、エミッター表面上に直接被覆される。最も一般的な単層ARコーティングは、
MgF2、SiO2、SiO、TiO2およびTa25である。高効率の宇宙空間
(space)太陽電池に関して、最も一般的に使用される二重層ARコーティ
ングは、ZnS/MgF2である。上記の被覆方法の全ては、電池の前面におい
て、化学量論を破壊し、粒界における散乱を増加させることにより、ARコーテ
ィング/半導体インターフェース透明性を減少させ、そしてエミッター/ARコ
ーティングインターフェースにさらなる欠陥を導入する。この理由のために、高
効率III−Vベース宇宙空間太陽電池は、ARコーティング層を被覆する前に
、エミッタ−表面上に成長された窓層を使用する。この窓層は、上述の減損効果
を部分的に低減する。格子整合窓層は、エピタクシーによって成長し;これによ
りコストが増大し、そして完成した電池の収量を減少する。窓/エミッター層イ
ンターフェースにおける放射線誘導欠陥は、宇宙空間太陽電池の設計技術者にと
ってのさらなる懸念である。
【0065】 本発明者らは、BS溶液(例えば、BS:B15、BS:B19、およびBS
−Ti34)を使用する高成長速度RTWCG SiOX酸化物の全体的な品質
を試験するために、これらを平板型Si電池用のパシベーション/第1層ARコ
ーティングとして使用することにより、予備的な調査を開始した。
【0066】 予備的な研究のために、数十個の容易に入手可能な約7%の効率(AMO、2
5℃)を有する平板型2×2cm2 n/p Si太陽電池(裸の電池)を使用
した。測定の前に、この裸の電池の前面を、有機物で、次いで2%HF溶液に短
時間浸漬することにより清澄化した。次いで、RTWCG SiOベースのコー
ティングをBS−Ti34化学系中で成長させた。
【0067】 このような裸の電池の、RTWCG SiOX酸化物をBS−Ti34化学系
中で成長させる(a)前および(b)後の外部量子効率における変化を図9に示
す。
【0068】 性能パラメーター(これらの抜粋を表6に示す)を、NASA LeRCにお
いてコーティングの前後に、AMO,25℃の条件下で測定した。
【0069】 (表6.選択された2×2cm2 n/p Si電池の、BS−Ti34化学
系中でのRTWCGのSiベースコーティングの前(裸の)および後の、AMO
,25℃性能パラメーター。成長時間:3〜5分間;厚さ:95〜120nm;
アニーリング無し。
【0070】
【表6】 SiOベースコーティングの後、これらの電池がAMO測定の前に受けた唯一の
処理は、DI水中でのリンスおよびN2中の乾燥であった。RTWCG SiO
ベースコーティングの後の、これらの電池の効率における大きな増加は、BS−
Ti34化学系中で成長させたコーティングの非常に良好な光学品質に起因する
。しかし、このコーティングの不動態化特性は、いくつかの市販のARコーティ
ングより優れているが、BS:B15およびBS:B19化学系中で成長させた
コーティングの不動態化特性ほど優れていない。この事の理由は、比較的多量の
金属成分(この例ではTi)であり、この金属成分は、(例えば、図7aに示さ
れる)XPSスペクトルから明らかなように、高屈折率インターフェースM−O
リッチSi−O−X層中になお存在する。表6中の電池「12」に使用されるS
iOX酸化物を、図6のものと同一の条件で成長させた。電池「23」に使用し
たコーティングは、より明確なTiOxピーク(金属性Tiに対するより小さな
肩を有する)により明らかなように、電池「12」と比較して、より低い濃度の
金属性Tiを有していた。
【0071】 表5に示されるように、溶液成長の化学を変えることにより、種々の量のTi
−OおよびN−O基をSiOX層に含ませることによって、改変された屈折率を
有するSiOX ARコーティングを被覆することが可能である。SiOXコー
ティングのRTWCGは、太陽電池上のグリッドフィンガー(grid fin
ger)のような既存の金属化による表面、または熱光起電性(thermop
hotovoltaic)(TPV)電池表面上で可能である。従って、一旦電
池上で金属化がなされ、そして非化学量論的前面層の化学的除去の後、絶縁WC
G SiOCコーティングを、表面パシベーションおよびARコーティングとし
ての2つの目的のために使用し得る。
【0072】 表6における電池のISC値は、SiOベースコーティングのRTWCGの後に
有意に増加し、一方でVOC値は、特に変化しないままであることに留意する。1
0〜15年経った平板型電池の表面が、いくらかまたは全てのフロントグリッド
フィンガーを除去することなしに、SiOベースコーティングを成長させる前に
、適切に清澄化し得ないという事実に、説明がなされるべきであり得る。これら
の電池については、BS:B15型化学系の穏和な酸性溶液を使用して、1つの
工程で、非化学量論的(死んだ(dead))前面層を除去し、その表面を非動
態化し、そして良好な品質のARコーティングを成長させることが可能である。
【0073】 (実施例5.Siベースコンセントレーター(concentrator)垂
直多結合(VMJ)ケイ素太陽電池のための不動態化/単層無反射コーティング
として使用するためのRTWCG SiOXベース絶縁体) Si/絶縁体インターフェースにおける光誘導効果は、太陽電池の性能に不利
に影響することが公知である。これらの光誘導効果は、特に表面感受性デバイス
(例えば、ケイ素逆転層太陽電池)に影響するが、これらの効果はまた、集中太
陽光への長時間曝露後の、高効率ケイ素点接触太陽電池についても報告された。
光誘導分解は、ケイ素/絶縁体インターフェースにおける速い表面状態の生成に
起因する。地上型太陽電池適用について、この効果は最小にされ得る。なぜなら
ば、カバーガラスおよび適切なカプセル(encapsulant)が、太陽電
池性能の光誘導分解の主な原因となるエネルギー波長(λ<335nm)をカッ
トオフするフィルターとして作用するからである。しかし、コンセントレーター
太陽電池について一般的に、そして点接触VMJ Si太陽電池については特に
、これらの効果は、無視できない。
【0074】 垂直多結合(VMJ)Si太陽電池(米国特許第4,332,973号;同第
4,409,422号、および同第4,516,314号)は、コンセントレー
ター太陽電池としての使用について見込みがある。高効率で安定なVMJ電池の
製造における最大の挑戦のうちの1つは、従来の技術を使用して、2つの後面お
よび前面、ならびに2つの非金属化縁表面を不動態化する困難性である。
【0075】 この予備的な実験のために、いくつかの初期の垂直多結合(VMJ)Si太陽
電池が、VMJ Si太陽電池開発者であるPhotoVolt,Incにより
本発明者らに提供された。Si VMJ電池構造における効率損失に最も寄与し
ているのは、その照射された表面および後面、ならびに金属化により覆われてい
ない2つの縁表面が、不動態化することが困難な露出された接点を有する高い再
結合表面であるという事実である。また、従来のARコーティングは、温度の拘
束のため、そして4つの露出された表面を有するそれらの構成のために、これら
の電池上に形成することが困難である。RTWCGプロセスは、SiOベース酸
化物を、同時に4つの露出した表面上に成長させる。これらのコーティングは、
室温で成長し、容易に適用され、安定であり、VMJ Si電池表面を充分に不
動態化し、そして効果的なARコーティングとして作用する。いくつかの結果を
、表7に示す。 (表7.裸の電池の(PhotoVoltによる)化学的パシベーション後、お
よびRTWCGのSiOXコーティング後の2つのVMJ Si電池のISCおよ
びVOC成長時間:4分間;酸化物の厚さ:約120nm。アニーリング無し。)
【0076】
【表7】 注意:複数(16〜40)の曝露されたジャンクションを有するVMJ Si太
陽電池は、おそらく最も表面感応性の太陽電池である。このSi VMJ電池の
構造において効率ロスに対する最も大きい寄与は、照射された背後表面が、パシ
ベーションするのに困難である曝露されたジャンクション(40まで)を有する
高再結合表面であるという事実である。SiOXコーティングの後、この電池が
AMO処理前に受ける処理のみを、DI水中でリンスし、そしてN2中で乾燥さ
せた。RTWCG SiOXコーティングされたVMJ電池は、抵抗性エバポレ
ートSi34、SiOおよびTa25 ARコーティングを有する、電池の性能
およびUV安定性を上回る。本研究者は、それを、内在性良好パシベーションお
よびRTWCG Si−O−C−N酸化物の光質(optical quali
ty)に帰する。
【0077】 ARコーティングとしてTa25を有するいくつかのSi VMJ電池におい
て、UV光近くで高密度(約50mW/cm2)で1時間曝露した後、電池性能
パラメータのドロップは、20%ほどの高さであった。曝露後、この性能パラメ
ータは、たった約30分後に完全に回復した。このことは、Ta25/Si境界
の第1表面状態が、性能パラメータドロップに原因があったことを示唆する。T
25層を取り除いた後、RTWCG SiOCN酸化物(BS−B19溶液)
を使用して表面をパシベーションして、この電池性能パラメータは、50%ほど
増加した。さらに、UV分解は、5時間ほどの長さの上記のハロゲン光への照射
後にすでに観察されなかった。実際に、類似の表面処理をしたいくつかの電池に
おいて、AMO、25℃条件下でNASA LeRCでのPCブランチにおいて
実施した測定によると、長期の光曝露後に、わずかに少量の性能パラメータの増
加を示した。
【0078】 太陽電池および特に表面感応性太陽電池(例えば、垂直マルチジャンクション
(VMJ)太陽電池)の適用について、表面再結合速度は、できるだけ遅くなけ
ればならない。金属および炭素不純物は、酸化物/半導体境界で低い汚染で保た
なければならないことを意味する。本発明者らのSiOX酸化物のいくつかに存
在するFeおよびTiの量は、非常に低いレベルに保たなければならないことを
意味する。低SRVを維持するために、本発明者らの実験結果によると、高い成
長速度の溶液(例えば、BS−Ti34)が使用可能でありるが、この溶液を使
用して、SiOXでコーティングされたVMJ電池のUV安定性は、BS:PS
6またはBS:B15またはBS:B19溶液を使用した場合ほど、良好ではな
いことを示す。
【0079】 (実施例6.多孔性シリコンのパシベーション膜として使用するためのSiO
ベース絶縁体を、コーティング光子工学的(光電子工学的)デバイスでコーティ
ングした) PS材料の化学的安定化およびルミネセンス効率の維持(または増強)は、多
孔性シリコンベースの光子工学的(光電子工学的)デバイス適用の発達が直面す
る2つの現在の挑戦である。
【0080】 既知のように、良好な化学的安定性は、熱または陽極酸化のいずれかによりP
S表面を酸化することによって得られる。しかし、これは、デバイス適用の有望
な経路ではない。なぜならば、これは、電流圧入を妨害するからである。単結晶
平面シリコン表面上で、表面をパシベーションする水素化物は、酸化および汚染
に対して、少なくとも数時間の時間スケールでかなりの安定性を示すことが公知
である。対照的に、このPS表面は、より酸化および汚染する傾向にあり、そし
て特に非常に多孔性の試料では、紫外線スペクトルに対して、空気中で数十分後
に、微量の汚染された未変性の酸化形成を示す。一方、平面シリコン結晶上での
、表面のメトロキシル化は、メタノールベースの光電気化学的電池中での長時間
安定性および低境界再結合特性を説明するための鍵となる因子として報告されて
いる。次いで、多孔性シリコン表面の同様の改良は、非常に魅力的である。なぜ
ならば、デバイス適用のためのプロセス工程として使用され得る、かなりより安
定的な表面を提供し得るからである。最近の研究において、濃縮されたHF電解
質中でのPSの形成後、メトキシ基を、無水メタノール中で水素化したPS表面
の部分的陽極溶解(anodic dissolution)を通じて形成した
。このメトキシル化表面は、改良された光学特性(増加した光ルミネセンス効率
および蛍光のブルーシフト)を示し、非フッ化物水性電解質中での陽極酸化され
たPSに類似した。老朽化に対する安定性はまた、水素化表面の安定性と比較し
て改良されたが、陽極酸化されたPSの安定性には達しなかった。この相対的な
不安耐性は、改良プロセスでのメトキシル化表面に存在するSiH種の量に起因
する。
【0081】 PSの基本的な特性(例えば、光ルミネセンス(PL)起源、形成機構、およ
び構造)は、大規模に研究されている。一方で、PSデバイスの基本が、開発さ
れている。通常の一体型回路技術に適合可能なPSの対応するデバイス技術を開
発することが、重要である。例えば、PSデバイスの製造および一体型光電子回
路の製造の鍵となる工程は、光発光パターンの形成である。これは、困難なプロ
セスである。なぜならば、任意のポストプロセスは、多孔性構造、脆弱構造、お
よび化学反応性構造に起因して、PSの特性にかなり影響を与えるからである。
University of RochesterおよびRochester
Institute of Tecnology,N.Y.の研究チームは、P
S光発光ダイオード(LED)を従来のマイクロエレクトロニクスデバイスに組
み込むことを最初に達成した(Semiconductor Internat
ional,January 1997)。このLEDは、1990年に製造さ
れた最初のPS Si LEDよりも10.000倍以上効果的であると言われ
ている。その研究チームが想定している改良は、さらに、効率を10倍押し上げ
ること、および周波数を100倍増大させることである。これを達成するために
、第1の工程として、本発明者らは、より良好なパシベーション層およびより低
い比誘電率で、その研究者が現在使用しているSiリッチSiO2層を交換する
ことだと考える。SiリッチSiO2の使用は、SiO2と比較して、例えば、エ
ッチングのような、いくつかのポストプロセス工程に良好に耐えるという利点を
与えるが、それは、表面パシベーションとしては良好な選択ではなく、低い耐性
および低い誘電性強度、高い比誘電率を有し、プラズマ処理、UVおよびイオン
化光に対して安定性が低い。
【0082】 最近、本発明者らは、光子工学的(光電子工学的)デバイス適用のためのRT
WCG成長SiOCNリッチ薄膜コーティングを使用した、老朽化に対してPS
物質の安定性を増加させることの可能性、および特に、Si太陽電池の適用のた
めの低反射でパシベーションされたフロント表面形成についての非常に予備的な
研究を開始した。このPSは、HFおよびHNO3を基礎とする溶液を使用して
、(111)および(100)Si基板を化学的に形成した。次いで、薄SiO
X層のRTWCGを、室温中で成長させた(図5を参照のこと)。図10におい
て、以下の反射率プロットを示す:(a)RCA浄化(100)p−Si水、(
b)コーティングされたPS、(c)A4:Pd溶液を使用してPSをパシベー
ションしたRTWCG SiOX(図1を参照のこと)、および(d)BS−P
S6溶液を使用してPSをパシベーションしたRTWCG SiOX(図5を参
照のこと)。示されるように、太陽電池の目的のスペクトルの部分での曲線(c
)において、PSでコーティングされたSiOXの反射率は、約10%であり、
一方、580nm〜1040nmでの曲線(d)において、PSでコーティング
されたSiOXの反射率は、4%未満であり、一方、これは、最良の2重層AR
コーティングの反射率以下である。
【0083】 PS表面上のSiOCN層のRTWCGプロセス、室温プロセスは、光子工学
的(光電子工学的)デバイス適用に対して非常に魅力的である。なぜならば、ポ
ストプロセス工程の間の熱、湿度、長期のUV光、酸素原子および電離放射線へ
の曝露のような因子に関して、このような環境に組み立てデバイスを曝露する結
果として、試薬を還元および酸化するのにかなりより安定な表面を提供するから
である。予備作業に基づいて、平面シリコン表面と比較して、高品質のWCG
SiOCNコーティングは、Si表面をコーティングしたPS上で成長させるこ
とがかなり容易であることが明らかである。この理由は、現在、十分に理解され
ていない。
【0084】 本発明は、その好ましい実施形態を参照して特に示され、そして記載されるが
、形態および詳細の他の変化は、本発明の精神および範囲から逸脱することなく
、本明細書中においてなされ得ることが、当業者に理解され得る。例えば、KF
eCNと組み合わせて使用されるFe−EDTAは、BS−Ti34中で成長し
たSiOC酸化物の成長速度を著しく増加させるが、Feの汚染が、かなり大き
い。大多数の可能な電子デバイスおよび光子工学的(光電子工学的)デバイス適
用の中から、本発明者らは、6つの上記例のみを記述した。RTWCG SiO
X酸化物は、、Si半導体基板以外で成長され得(Ge、III−VおよびI−
III−IV配合半導体を含む)、そして本発明の精神および範囲から逸脱する
ことなく電子デバイスおよび光子工学的(光電子工学的)デバイス適用の幅広い
範囲に使用され得ることが、当業者に理解され得る。本明細書中に記載される他
の適用(これらの酸化物の種々の記載に使用される)はまた、本発明によってカ
バーされる。これらの実施例の1つを以下に示す。
【0085】 (実施例7.Si表面欠陥提示のための新規な光学技術) 本発明者らは、A4:Pd溶液の使用、(100)Si上のSiOX酸化物の
成長速度は、(111)Si基板上での成長速度よりもかなり遅いことを記載し
た。このために、(100)Si基板において、この酸化物は、欠陥領域におい
て優先的に成長し、これにより、(111)パネルを明らかにする。この技術効
果は、非破壊方法および非常に正確な方法のために使用され得、(100)Si
表面上の表面欠陥タイプおよび密度を明らかにする。言い換えれば、(100)
Si表面において、転位領域で優先的に成長する酸化物スポットは、表面欠陥の
タイプおよび密度を明らかにするために、必要不可欠な非破壊技術および非常に
正確な技術として使用され得る(例えば、エッチピット、転位、およびスクラッ
チ)。この適用を使用して、本発明者らは、従来の好ましいエッチング技術を使
用する場合のように、5〜10%以上の表面欠陥を一貫して記録した。次いで、
本発明者らは、好ましいエッチング技術を使用する場合、欠陥密度が大きくなる
と、欠陥密度の誤差も大きくなることを見出した。より大きいピットがより小さ
いピットを覆うので、それらの密度を正確に数えることを困難にする。好ましい
酸化の新しいより高分解技術を使用して、この欠陥領域は、よりよく描写され、
そして任意の高い拡大率の光学顕微鏡または電子顕微鏡を使用してかなり容易に
視覚化される。この技術を使用して、迅速でかつ正確な自動表面欠陥マッピング
が、反射率、室温光ルミネセンス強度または屈折率画像技術のいずれかを使用し
て、可能となる。A4:Pd溶液を使用するので、RTWCGプロセスの間に除
去される下層(100)Si基板の厚さは、酸化物厚の25%未満である。酸化
物の40nm厚未満を、上記の任意の光学技術のいずれかによる酸化物スポット
を容易に視覚化する必要があるので、転位部位で、除去される物質の厚は、10
nm未満であることを意味する。これは、この新規な本質的非破壊技術を実施す
る。この技術は、Si水およびデバイス工業において、大容量プロセスコントロ
ールために推奨されるべきである。
【0086】 本発明の上記の目的および他の目的、特徴および利点は、添付の図面に示され
るように、本発明の好ましい実施形態の以上のより具体的な記載から明らかであ
る。
【図面の簡単な説明】
【図1】 図1は、表面から約30nmのスパッタリング後の、A4:Pd溶液中の(1
11)p型Si:Bで成長する、RTWCG SiOXオキシド(89nm厚)
のXPS表面測定である。
【図2】 図2は、表面から約3nmの除去後の、BS:B15溶液中のp型Siで成長
する、RTWCG SiOXオキシド(112nm厚)のXPS表面測定である
【図3】 図3は、BS:B19溶液中のp型Siで成長する、RTWCG SiOXオ
キシド(約12nm厚)のXPS表面測定である。
【図4】 図4は、図3の酸化物の深さプロフィールである。
【図5】 図5は、BS:PS6溶液中のp型多孔性Siで成長する、RTWCG Si
OX酸化物のXPS表面測定である。
【図6】 図6は、表面から約13nmの除去後の、BS−Ti34溶液中のp型Siで
成長する、RTWCG SiOX酸化物(235nm厚)のXPS表面測定であ
る。
【図7a】 図7aは、図6の測定におけるTiウィンドウのクラプス(clasp)図を
示す。
【図7b】 図7bは、図6の測定におけるFeウィンドウのクラプス(clasp)図を
示す。
【図8】 図8は、(111)p型シリコン基板上で成長する、選択されたRTWCGS
iOXベースの薄膜酸化物層についての波長に対する屈折率のプロットである。
【図9】 図9は、(a)裸のおよび(b)RTWCGSiOXベースのARコーティン
グを有する4cm2 Si太陽電池についての波長に対する外部量子効率のプロ
ットである。
【図10】 図10は、(a)RCA洗浄(100)p型Si、(b)PSコーティングさ
れた、(c)溶液A4−Pdを使用するRTWCG SiOX不動態化PS、(
d)溶液BS−PS6を使用するRTWCG SiOX酸化物の波長に対する反
射率のプロットである。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,TZ,UG,ZW ),EA(AM,AZ,BY,KG,KZ,MD,RU, TJ,TM),AE,AL,AM,AT,AU,AZ, BA,BB,BG,BR,BY,CA,CH,CN,C R,CU,CZ,DE,DK,DM,EE,ES,FI ,GB,GD,GE,GH,GM,HR,HU,ID, IL,IN,IS,JP,KE,KG,KP,KR,K Z,LC,LK,LR,LS,LT,LU,LV,MA ,MD,MG,MK,MN,MW,MX,NO,NZ, PL,PT,RO,RU,SD,SE,SG,SI,S K,SL,TJ,TM,TR,TT,TZ,UA,UG ,UZ,VN,YU,ZA,ZW (72)発明者 フォール, マーシア アメリカ合衆国 オハイオ 44070, ノ ース オルムステッド, ルサンドラ サ ークル 27390 (72)発明者 フラッド, デニス ジェイ. アメリカ合衆国 オハイオ 44074, オ バーリン, フォレスト ストリート 161 (72)発明者 ベイリー, シェイラ ジー. アメリカ合衆国 オハイオ 44107, レ イクウッド, マース アベニュー 1567 (72)発明者 フォール, ホリア エム. アメリカ合衆国 オハイオ 44070, ノ ース オルムステッド, ルサンドラ サ ークル 27390 Fターム(参考) 4G072 AA25 BB09 FF06 GG03 HH05 JJ09 JJ28 JJ42 JJ47 KK09 KK15 KK17 LL06 NN21 UU01 5F058 BC02 BF41

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に酸化ケイ素ベースの層を形成するための方法
    であって、該方法は、以下: H2SiF6、塩化N−n−ブチルピリジニウムおよびFe2+/Fe3+酸化還元
    水溶液を含む反応混合物を提供する工程;ならびに 該混合物と該半導体基板を反応させ、該酸化ケイ素ベースの層を形成する工程
    、 を包含する、方法。
  2. 【請求項2】 前記混合物がSiO2を含む物質で飽和される、請求項1に
    記載の方法。
  3. 【請求項3】 前記Fe2+/Fe3+酸化還元水溶液が、K3Fe(CN)6
    たはエチレンジアミン四酢酸鉄の水溶液を含む、請求項1に記載の方法。
  4. 【請求項4】 前記混合物が、混合物のpHに対して少なくとも部分的に選
    択される触媒をさらに含む、請求項1に記載の方法。
  5. 【請求項5】 請求項4に記載の方法であって、前記混合物が約4〜約6の
    範囲のpHを有する場合、トリフルオロ酢酸パラジウムIIが触媒として使用さ
    れる、方法。
  6. 【請求項6】 請求項4に記載の方法であって、前記混合物が約3〜約9の
    範囲のpHを有する場合、ヘキサフルオロチタン酸二水素、塩化チタン TiC
    4および(NH42TiF6のうち少なくとも1つが触媒として使用される、方
    法。
  7. 【請求項7】 前記混合物のpHが、NaOH、NaF、KOH、NH4
    およびHFのうちの少なくとも1つで調整される、請求項1に記載の方法。
  8. 【請求項8】 シリコン基板上に酸化ケイ素ベースの層を形成するための方
    法であって、該方法は、以下: H2SiF6、塩化n−n−ブチルピリジニウムおよびFe2+/Fe3+酸化還元
    水溶液を含む反応混合物を提供する工程;ならびに 該混合物と該シリコン基板を反応させ、該酸化ケイ素ベースの層を形成する工
    程、 を包含する、方法。
  9. 【請求項9】 前記混合物がSiO2を含む物質で飽和される、請求項8に
    記載の方法。
  10. 【請求項10】 前記Fe2+/Fe3+酸化還元水溶液が、K3Fe(CN)6 またはエチレンジアミン四酢酸鉄の水溶液を含む、請求項8に記載の方法。
  11. 【請求項11】 前記混合物が、混合物のpHに対して少なくとも部分的に
    選択される触媒をさらに含む、請求項8に記載の方法。
  12. 【請求項12】 請求項11に記載の方法であって、前記混合物が約4〜約
    6の範囲のpHを有する場合、トリフルオロ酢酸パラジウムIIが触媒として使
    用される、方法。
  13. 【請求項13】 請求項11に記載の方法であって、前記混合物が約3〜約
    9の範囲のpHを有する場合、ヘキサフルオロチタン酸二水素、塩化チタン T
    iCl4および(NH42TiF6の少なくとも1つが触媒として使用される、方
    法。
  14. 【請求項14】 前記混合物のpHが、NaOH、NaF、KOH、NH4
    FおよびHFのうちの少なくとも1つで調整される、請求項8に記載の方法。
  15. 【請求項15】 シリコン基板上に酸化ケイ素ベースの層を形成するための
    方法であって、該方法は、以下: H2SiF6、塩化n−n−ブチルピリジニウム、およびFe2+/Fe3+酸化還
    元水溶液を本質的に含む反応混合物を提供する工程;および 該混合物と該シリコン基板を反応させ、該酸化ケイ素ベースの層を形成する工
    程、 を包含する、方法。
  16. 【請求項16】 前記混合物がSiO2を含む物質で飽和される、請求項1
    5に記載の方法。
  17. 【請求項17】 前記Fe2+/Fe3+酸化還元水溶液が、K3Fe(CN)6 またはエチレンジアミン四酢酸鉄の水溶液を含む、請求項15に記載の方法。
  18. 【請求項18】 前記混合物が、混合物のpHに対して少なくとも部分的に
    選択される触媒をさらに含む、請求項15に記載の方法。
  19. 【請求項19】 請求項18に記載の方法であって、前記混合物が約4〜約
    6の範囲のpHを有する場合、トリフルオロ酢酸パラジウムIIが触媒として使
    用される、方法。
  20. 【請求項20】 請求項18に記載の方法であって、前記混合物が約3〜約
    9の範囲のpHを有する場合、ヘキサフルオロチタン酸二水素、塩化チタニウム TiCl4および(NH42TiF6のうち少なくとも1つが触媒として使用さ
    れる、方法。
  21. 【請求項21】 前記混合物のpHが、NaOH、NaF、KOH、NH4
    FおよびHFのうちの少なくとも1つで調整される、請求項15に記載の方法。
JP2000607258A 1999-03-22 2000-03-17 シリコン上のSiOベースの酸化物の室温湿式化学的成長 Withdrawn JP2002540599A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/273,373 US6080683A (en) 1999-03-22 1999-03-22 Room temperature wet chemical growth process of SiO based oxides on silicon
US09/273,373 1999-03-22
PCT/US2000/007159 WO2000057464A1 (en) 1999-03-22 2000-03-17 ROOM TEMPERATURE WET CHEMICAL GROWTH PROCESS OF SiO BASED OXIDES ON SILICON

Publications (1)

Publication Number Publication Date
JP2002540599A true JP2002540599A (ja) 2002-11-26

Family

ID=23043637

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000607258A Withdrawn JP2002540599A (ja) 1999-03-22 2000-03-17 シリコン上のSiOベースの酸化物の室温湿式化学的成長

Country Status (4)

Country Link
US (1) US6080683A (ja)
JP (1) JP2002540599A (ja)
AU (1) AU3759200A (ja)
WO (1) WO2000057464A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013540090A (ja) * 2010-09-16 2013-10-31 スペックマット インコーポレイテッド 高効率かつ低コストの結晶珪素太陽電池セルのための方法、プロセス、及び製造技術
JP2015128140A (ja) * 2013-12-30 2015-07-09 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 表示装置製造方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6593077B2 (en) * 1999-03-22 2003-07-15 Special Materials Research And Technology, Inc. Method of making thin films dielectrics using a process for room temperature wet chemical growth of SiO based oxides on a substrate
US6613697B1 (en) * 2001-06-26 2003-09-02 Special Materials Research And Technology, Inc. Low metallic impurity SiO based thin film dielectrics on semiconductor substrates using a room temperature wet chemical growth process, method and applications thereof
EP2261173A1 (en) * 2001-11-20 2010-12-15 Wm. Marsh Rice University Coated fullerene interconnect device
US20030113085A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc., A Delaware Corporation HDP-CVD film for uppercladding application in optical waveguides
US20030110808A1 (en) * 2001-12-14 2003-06-19 Applied Materials Inc., A Delaware Corporation Method of manufacturing an optical core
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
AU2003304249A1 (en) 2002-11-19 2005-01-13 William Marsh Rice University Method for creating a functional interface between a nanoparticle, nanotube or nanowire, and a biological molecule or system
WO2004047162A1 (en) * 2002-11-19 2004-06-03 William Marsh Rice University Method for low temperature growth of inorganic materials from solution using catalyzed growth and re-growth
US7253014B2 (en) * 2002-11-19 2007-08-07 William Marsh Rice University Fabrication of light emitting film coated fullerenes and their application for in-vivo light emission
NL2000248C2 (nl) * 2006-09-25 2008-03-26 Ecn Energieonderzoek Ct Nederl Werkwijze voor het vervaardigen van kristallijn-silicium zonnecellen met een verbeterde oppervlaktepassivering.
US7821637B1 (en) 2007-02-22 2010-10-26 J.A. Woollam Co., Inc. System for controlling intensity of a beam of electromagnetic radiation and method for investigating materials with low specular reflectance and/or are depolarizing
US8664524B2 (en) 2008-07-17 2014-03-04 Uriel Solar, Inc. High power efficiency, large substrate, polycrystalline CdTe thin film semiconductor photovoltaic cell structures grown by molecular beam epitaxy at high deposition rate for use in solar electricity generation
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2014200985A2 (en) 2013-06-11 2014-12-18 Specmat, Inc. Chemical compositions for semiconductor manufacturing processes and/or methods, apparatus made with same, and semiconductor structures with reduced potential induced degradation
US9337229B2 (en) 2013-12-26 2016-05-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10619097B2 (en) 2014-06-30 2020-04-14 Specmat, Inc. Low-[HF] room temperature wet chemical growth (RTWCG) chemical formulation
US9318447B2 (en) * 2014-07-18 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of forming vertical structure

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2505629A (en) * 1949-06-30 1950-04-25 Rca Corp Method of depositing silica films and preparation of solutions therefor
JPS5318151B2 (ja) * 1971-12-14 1978-06-13
JPS57179054A (en) * 1981-04-24 1982-11-04 Hitachi Ltd Formation of electrically conductive transparent film
DE3332995A1 (de) * 1983-07-14 1985-01-24 Nippon Sheet Glass Co. Ltd., Osaka Verfahren zum herstellen einer siliciumdioxidbeschichtung
JPS61281047A (ja) * 1985-06-06 1986-12-11 Nippon Sheet Glass Co Ltd 二酸化珪素被膜の製造方法
JPH0627347B2 (ja) * 1985-07-19 1994-04-13 日本板硝子株式会社 二酸化珪素被膜の製造方法
JP3250673B2 (ja) * 1992-01-31 2002-01-28 キヤノン株式会社 半導体素子基体とその作製方法
JP3191972B2 (ja) * 1992-01-31 2001-07-23 キヤノン株式会社 半導体基板の作製方法及び半導体基板
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5659192A (en) * 1993-06-30 1997-08-19 Honeywell Inc. SOI substrate fabrication
KR100366910B1 (ko) * 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
US5506006A (en) * 1994-05-04 1996-04-09 National Science Council Process for depositing silicon dioxide by liquid phase diposition
JPH08162528A (ja) * 1994-10-03 1996-06-21 Sony Corp 半導体装置の層間絶縁膜構造
US5661092A (en) * 1995-09-01 1997-08-26 The University Of Connecticut Ultra thin silicon oxide and metal oxide films and a method for the preparation thereof
JPH09116011A (ja) * 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5616233A (en) * 1996-05-01 1997-04-01 National Science Council Method for making a fluorinated silicon dioxide layer on silicon substrate by anodic oxidation at room temperature
US5648128A (en) * 1996-06-06 1997-07-15 National Science Council Method for enhancing the growth rate of a silicon dioxide layer grown by liquid phase deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013540090A (ja) * 2010-09-16 2013-10-31 スペックマット インコーポレイテッド 高効率かつ低コストの結晶珪素太陽電池セルのための方法、プロセス、及び製造技術
JP2015128140A (ja) * 2013-12-30 2015-07-09 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 表示装置製造方法

Also Published As

Publication number Publication date
WO2000057464A1 (en) 2000-09-28
AU3759200A (en) 2000-10-09
US6080683A (en) 2000-06-27

Similar Documents

Publication Publication Date Title
US7659475B2 (en) Method for backside surface passivation of solar cells and solar cells with such passivation
JP2002540599A (ja) シリコン上のSiOベースの酸化物の室温湿式化学的成長
US6613697B1 (en) Low metallic impurity SiO based thin film dielectrics on semiconductor substrates using a room temperature wet chemical growth process, method and applications thereof
KR101836548B1 (ko) 고 효율 저 비용의 결정질 실리콘 태양 전지를 위한 방법, 공정 및 제조 기술
US6593077B2 (en) Method of making thin films dielectrics using a process for room temperature wet chemical growth of SiO based oxides on a substrate
Yang et al. Improved interface of ZnO/CH 3 NH 3 PbI 3 by a dynamic spin-coating process for efficient perovskite solar cells
Richards et al. Enhancing the surface passivation of TiO 2 coated silicon wafers
US7407895B2 (en) Process for producing dielectric insulating thin film, and dielectric insulating material
JPH057872B2 (ja)
CN107768467B (zh) 一种硅基太阳能电池及其制备方法
CN105226114A (zh) 一种黑硅钝化结构及其制备方法
CN109004038A (zh) 太阳能电池及其制备方法和光伏组件
Bonilla et al. Effective Antireflection and Surface Passivation of Silicon Using a SiO2/aT iOx Film Stack
Osenbach et al. Behavior of a‐SiN: H and a‐SiON: H films in condensed water
WO2018040990A1 (zh) 聚硅氧烷、半导体用材料、半导体及太阳能电池制备方法
KR101198930B1 (ko) 질화규소 반사방지막의 제조 방법 및 이를 이용한 실리콘 태양전지
Tang et al. Preparation of n+ emitter on p-type silicon wafer using the spin-on doping method
EP3008152B1 (en) Chemical composition for semiconductor manufacturing processes
Gong et al. Passivation characteristics of new silicon oxide
EP1074526A2 (en) Method for the formation of an anti-reflective and leveling film on glass/TCO substrates
Cho et al. Effects of plasma-enhanced chemical vapor deposition (PECVD) on the carrier lifetime of Al 2 O 3 passivation stack
Richards et al. High temperature processing of TiO 2 thin films for application in silicon solar cells
CN109004054B (zh) 一种硫化钼薄膜异质结太阳能电池及其制造方法
CN109786503A (zh) 用氧化钼对单晶硅表面进行钝化的方法
EP2676291A1 (en) Method of improving the passivation effect of films on a substrate

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070605