JP2002510876A - 基体処理チャンバの構成部品の直接温度制御 - Google Patents

基体処理チャンバの構成部品の直接温度制御

Info

Publication number
JP2002510876A
JP2002510876A JP2000542785A JP2000542785A JP2002510876A JP 2002510876 A JP2002510876 A JP 2002510876A JP 2000542785 A JP2000542785 A JP 2000542785A JP 2000542785 A JP2000542785 A JP 2000542785A JP 2002510876 A JP2002510876 A JP 2002510876A
Authority
JP
Japan
Prior art keywords
temperature
fluid
component
thermal
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000542785A
Other languages
English (en)
Inventor
トゥーシャー マンドレカー
アニシュ トーリア
ニーティン クーラナ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002510876A publication Critical patent/JP2002510876A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 本発明は処理チャンバのある構成部品の温度を調整する装置及び方法を提供し、本方法は、前記構成部品に熱的に接続されている熱伝導体を準備するステップと、前記熱伝導体に接続されているコントローラを準備するステップと、前記構成部品に接続され、温度の読みを前記コントローラへ供給する少なくとも1つの温度センサを準備するステップと、前記熱伝導体の温度を変化させることによって、前記構成部品と前記熱伝導体との間の熱伝達を調整するステップとを含む。また本発明は、あるチャンバ構成部品内に熱勾配を発生させる装置及び方法を提供し、本方法は、前記構成部品に取付けられている第1の温度の第1の熱伝導体を準備するステップと、前記構成部品に取付けられている第2の温度の第2の熱伝導体を準備するステップとを含んでいる。

Description

【発明の詳細な説明】
【0001】 (発明の分野) 本発明は、一般的には、基体処理チャンバに関する。詳しく述べれば、本発明
は、基体処理チャンバのある構成部品の温度を制御することに関する。
【0002】 (従来の技術) 集積回路の製造においては、半導体基体上に薄膜を堆積させるために、一般的
には真空処理チャンバが使用されている。真空チャンバ内で遂行される処理は、
典型的には、基体の表面上に複数の金属、誘電体、及び半導体薄膜層を堆積させ
たり、またはそれらをエッチングしたりすることである。これらの処理の例は、
化学蒸着(CVD)、物理蒸着(PVD)、及びエッチング処理を含む。CVD
の場合、いろいろなガスが処理チャンバ内へ導入され、基体表面上に材料を堆積
させる反応材として作用する。処理チャンバ内のガス濃度の変動が基体表面全体
に不均一な堆積を発生させ、それが、歩留まりを低下させ、デバイスの障害を発
生させる恐れのある非平面形状をもたらすので、処理の均一な進行を確実にする
ためには処理チャンバ内のガス濃度を均一に分布させることが非常に望ましい。
【0003】 処理チャンバ内へ処理ガスを均一に導入するために、一般的にはガス分配板が
CVDチャンバ内に使用される。典型的なガス分配板は、チャンバの頂部部分ま
たはチャンバ蓋の一部として配置されているシャワーヘッドを備えている。一般
的には、処理ガス入口が処理ガスを供給するためにガス分配板に接続されている
。処理ガスは、ガス分配板を通過して処理チャンバ内へ入る。処理ガスの堆積反
応は、典型的には温度依存性である。従って、ガス分配板の温度を、それらの間
で反応が発生しない温度に維持しなければならない。
【0004】 もしシャワーヘッド上に堆積が発生すれば、それはシャワーヘッドの孔を詰ま
らせて処理ガスがチャンバ内に分配されるのを妨げ、それによって基体表面上に
不均一な処理を生じさせるか、または堆積材料の粒子がシャワーヘッドから基体
表面上へ剥がれ落ちて、基体を無駄にする。更に、ガス供給システムの温度が不
適切であると、ガス供給システム内の処理ガスを凝縮させ、処理チャンバに達す
る処理ガスの量を減少させて不十分な堆積をもたらす。
【0005】 基体とチャンバ蓋/ガス分配板との間の間隔が狭いので、ガス分配板の温度が
チャンバ内への処理ガスの供給に影響するだけではなく、基体の温度、従って堆
積された薄膜特性にも影響する。典型的には、CVD処理チャンバ内は低圧であ
るので、基体温度に主として貢献するのはガス分配板の放射率である。基体の温
度は基体支持体の温度を制御することによって“制御”されるが、固有抵抗(R s )の均一性及び堆積厚みの均一性のような薄膜特性は、シャワーヘッド温度の
変動によって生じた基体温度の変動によって影響され得る。
【0006】 現在、“BCS”、即ち「バーンイン/コンディショニング/シーズニング(
焼付け/順化/枯らし)」が、蓋/シャワーヘッド温度を制御するために使用さ
れている。要約すれば、BCSは、蓋及びガス分配板が(チャンバがバーンイン
/コンディショニング/シーズニングされた)安定状態処理温度に達するまで、
1枚またはそれ以上のウェーハ上にプラズマ処理を遂行し、チャンバ全体に材料
を堆積させることからなる。典型的には、蓋及びガス分配板は、処理中のチャン
バ内に生成されるプラズマによって所望の処理温度に達するまで徐々に加熱され
、プラズマによって供給される熱と、これらの構成部品から熱伝達される熱との
平衡によって維持される。代替として、抵抗加熱器のような能動加熱要素を蓋に
取付けて、安定状態温度までの加熱プロセスをスピードアップすることができる
。安定状態中に発生する反応及び堆積は予測可能であるので、安定状態温度にお
ける処理が望ましい。
【0007】 BCS方法の1つの特定の欠点は、温度が一貫せずにウェーハ毎に不均一な処
理結果をもたらすために、最初の数枚のウェーハを無駄にしてしまう“最初のウ
ェーハ効果”である。BCS処理中に、蓋及びガス分配板温度は冷たい開始温度
即ち室温から、チャンバ内に生成されるプラズマによって安定状態温度まで徐々
に上昇する。一般的に基体処理は温度依存性であるので、BCS処理中の温度変
動は、最初の数枚のウェーハ上の堆積速度及び他の反応を変動させる。安定状態
の時に処理されたウェーハ上に堆積された薄膜の特性と比較して、最初の数枚の
ウェーハ上に堆積された薄膜の一貫性のない特性は、これら最初の数枚のウェー
ハを無駄にする。1回の処理動作で複数のウェーハを処理している間に温度が変
動しても、これらの複数のウェーハの間の堆積または処理は一貫性がなくなり、
望ましくない一貫性のない薄膜特性を生ずる。また、BCS処理は典型的には極
めて時間のかかる処理であり、BCS処理で予備的なウェーハが犠牲にされるの
で、生産量が減少する。
【0008】 チャンバ内で生成されるプラズマによってガス分配板が高過ぎる温度まで加熱
されても、ガス分配板において望ましくない処理ガス反応が発生し得る。典型的
には、CVD処理ガスは高温において分解し、堆積速度を低下させる。ガス分配
板における高温による不要反応を阻止する1つの試みは、シャワーヘッドを取り
囲んで液体冷却材通路を設け、熱伝導/対流によってシャワーヘッドを冷却する
ことである。図1は、液体冷却材通路を有するガス分配板の分解斜視図である。
ガス分配板120は、ベース180及び液体通路カバー182からなっている。
ガス分配板120は、熱伝導性材料で作られた円板状デバイスであり、側壁15
2及び底板154によって限定されている円形の、同心状に配置されている空洞
150を有している。底板154上に配置されている複数のガス分配孔156は
、処理チャンバ内への処理ガス通路を与える。斜めになっている下側壁部分15
8が、側壁152と底板154とを結合している。フランジ部分160が水平面
内で外向きに伸びてガス分配板120の上側部分を形成し、ガス分配板120を
チャンバの蓋のベース板に係合させるのに役立っている。ボルト及びねじのよう
な固定具が複数の係合孔162を通って、板120をチャンバの蓋のベース板に
固定する。ガス注入板凹み130がフランジ部分160の上面内に形成され、ガ
ス分配板120上にガス注入カバー板を取付けるのを容易にしている。
【0009】 ベース180は、ベース180内に加工されている、または切り欠いてあって
側壁152を取り囲んでいる液体冷却材通路173を含んでいる。液体通路カバ
ー182は、固定具によって、または溶接によってベース180に固定され、シ
ールされて液体冷却材通路173の上壁を形成する。液体通路カバー182は、
液体通路カバー182から上方に突き出ている入口170及び出口174を含ん
でおり、これらの入口及び出口の中を孔172及び176が通っている。液体冷
却材通路173は、完全な環状通路として形成されてはいない。液体冷却材通路
173の入口部分206と出口部分208との間の閉塞部分204が、入口部分
206と出口部分208との間の短い弧状の距離を液体冷却材が流れるのを防い
でいる。そのため、入口部分206を通って液体冷却材通路173に入った液体
冷却材は、側壁152を完全に巡って出口部分208を通ってチャンネル186
へ出て行く。
【0010】 動作中、液体冷却材は、液体冷却材源(図示せず)からガス分配板120上の
入口170へポンプされる。通常、液体冷却材源は、液体冷却材を特定の温度ま
で冷却する深冷器(チラー)または冷凍ユニットを含んでいる。ガス分配板12
0内に入った液体冷却材は液体冷却材通路173を通って循環し、ガス分配板1
20を冷却した後に出口174を通ってガス分配板120から出て行く。次いで
、液体冷却材は液体冷却材源へ戻り、システムを通って再循環する。処理ガスよ
りも遙かに低い温度の液体冷却材を供給することによって、液体冷却材は、蓋が
、従ってそれを通って流れる処理ガスが望ましくないレベルまで加熱されるのを
防ぐことができる。しかしながら、それでもこの装置は、液体冷却材がシステム
を通って再循環するために液体冷却剤はその冷却特性を失い始め、蓋及びガス分
配板が過熱し易い。更にこの装置は、蓋の温度を、選択された温度以下に冷却す
ることに限定され、蓋及びシャワーヘッドの温度変動に応答してそれを迅速に調
整するように蓋温度を制御することはできない。
【0011】 BCS及び冷却材の両技術の主たる欠点は、蓋及びガス分配板の温度を能動的
に調整しないことである。安定状態処理温度を維持するために、BCS処理はチ
ャンバ内で生成されるプラズマによる受動的な加熱に頼り、液体冷却材装置は液
体冷却材によるガス分配板の冷却に頼っている。これらの両技術を用いても、処
理中に蓋及びガス分配板は望ましくない温度に到達し得る。更に、これらの両技
術は、蓋及び分配板の温度変動に応答してそれを能動的に制御することはできな
い。
【0012】 従って、チャンバの蓋及び関連する処理ガス分配器またはシャワーヘッドの温
度を調整し、一貫性のあるウェーハ処理を提供し、また最初のウェーハ効果を排
除する装置及び方法に対する要望が存続している。特に、基体抵抗の均一性及び
堆積の均一性を制御する装置及び方法に対する要望が存在している。また、蓋及
びシャワーヘッドの温度変動に迅速に応答する温度制御システムに対する要望も
存在している。
【0013】 (発明の概要) 本発明は、一般的には、基体処理チャンバのある構成部品の温度を調整して一
貫性のある基体処理を遂行し、最初の基体効果を排除する装置及び方法を提供す
る。本発明は更に、構成部品の温度変動に迅速に応答する温度制御システムを提
供する。
【0014】 1つの面において本発明は、チャンバ蓋及びガス分配板を含むガス分配システ
ムのような、処理システムのある構成部品の温度を調整するための装置を提供し
、この装置は、前記構成部品に熱的に接続されている熱伝導体と、前記熱伝導体
に接続され、前記構成部品と上器熱伝導体との間の熱伝達を調整するコントロー
ラと、前記構成部品に接続され、温度の読みを前記コントローラに供給する少な
くとも1つの温度センサとを備えている。
【0015】 本発明は更に、処理チャンバのある構成部品の温度を調整する方法を提供し、
本方法は、前記構成部品に熱的に接続されている熱伝導体を準備するステップと
、前記熱伝導体に接続されているコントローラを準備するステップと、前記構成
部品に接続され、温度の読みを前記コントローラに供給する少なくとも1つの温
度センサを準備するステップと、前記熱伝導体の温度を変化させることによって
前記構成部品と上器熱伝導体との間の熱伝達を調整するステップとを含んでいる
【0016】 別の面において本発明は、熱伝導体を提供し、この熱伝導体は、前記構成部品
に接して配置され、流体入口及び流体出口を有する流体チャンネルと、前記流体
入口に接続されている冷却流体源及び加熱流体源とを含んでいる。好ましくは、
コントローラは、前記熱伝導体と前記構成部品との間の熱伝導を変化させるため
に、前記流体入口内への冷却流体源及び加熱流体源を比例的に調整する。代替と
して、コントローラは、前記熱伝導体と前記構成部品との間の熱伝達を変化させ
るために、前記流体入口内への冷却流体源及び加熱流体源のオン/オフ切り換え
を行う。
【0017】 更に別の面において本発明は、チャンバ蓋のような処理チャンバのある構成部
品内に温度勾配を与える装置及び方法を提供する。好ましくは、温度勾配は基体
の周縁部分の周りの温度を上昇させてチャンバ内の基体全体に均一な温度を発生
させ、均一な堆積の厚み及び均一な基体の抵抗を生じさせる。処理チャンバの構
成部品内に温度勾配を発生させるこの装置は、前記構成部品に取付けられている
第1の温度の第1の熱伝導体と、前記構成部品に取付けられている第2の温度の
第2の熱伝導体とを備えている。
【0018】 上述した本発明の特色、長所、及び目的がどのようにして達成されるのかは、
以下の添付図面を参照しての詳細な説明から明白になるであろう。しかしながら
、添付図面は本発明の典型的な実施の形態を示しているに過ぎず、本発明は特許
請求の範囲によってのみ限定されるものであるので、これらの実施の形態が本発
明を限定する意図がないことを理解されたい。
【0019】 (実施の形態) 図2は、本発明の温度制御システムを有するCVDチャンバ蓋の斜視図である
。蓋100は、ベース板12、処理ガス注入マニホルド14、及びそれらの間に
固定されているガス分配板120を含んでいる。ベース板12は、好ましくはC
VDチャンバ(図示せず)の頂部部分に取外し可能なように固定され、処理中の
処理環境をシールする。
【0020】 図1及び2を同時に参照する。固定具22によってベース板12に固定され、
シールされているガス分配板120は、熱流体通路カバー182及び熱流体通路
173を有するベース180を含んでいる。熱流体通路カバー182は、熱流体
通路173の入口を形成している熱流体入口170、及び熱流体通路173の出
口を形成している熱流体入口174を含んでいる。ベース180は、側壁152
と底板154とによって限定されている空洞150を含み、この空洞150は処
理チャンバ内への処理ガス通路を与える複数のガス分配アセンブリ孔156を含
んでいる。熱流体通路173は、蓋/シャワーヘッドと熱流体との間に伝導によ
る熱交換を与えるために、側壁152を完全に取り囲んでいることが好ましい。
分離ブロック204が、入口部分206と出口部分208との間の短い弧の部分
内に位置決めされていて、到来する新鮮な熱流体が、熱流体通路173を通って
循環して出て行く熱流体と混合されるのを防いでいる。熱流体カバー182はね
じまたはボルトのような固定具によってベース180に固定され、ガス分配板1
20を形成すると共に、熱流体入口170及び熱流体出口174の箇所を除いて
シールされた熱流体チャンネル173を作っている。
【0021】 ガス分配板120は固定具によってベース板12に固定され、ガス注入カバー
板18はガス分配板120の頂部に固定されている。処理ガス注入マニホルド1
4は、処理ガス源(図示せず)に接続され、ベース板12上に固定されている。
処理ガスマニホルド14は、ガス注入カバー板18に取付けられているガス注入
マニホルドヘッド16を含んでいる。ガス注入マニホルドヘッド16は、ガス注
入カバー板18を通して処理ガスを注入する。ガス注入マニホルドヘッド16は
、流体入口38及び流体出口40に接続されているヘッド熱流体通路(図示せず
)を含んでいる。ヘッド熱流体通路は、ガス分配板120内の熱流体通路と同様
に、熱流体とガス注入マニホルドヘッド16との間の熱伝導を行う。ヘッド熱流
体通路は、ガス注入マニホルドヘッド16の温度を調整可能にする。
【0022】 蓋ベース板12に取付けられている熱流体マニホルド30は、熱流体源入口3
2、熱流体排気口(図示せず)に接続されている排気ポート34、ガス注入マニ
ホルドヘッド16上の流体入口38に接続されている熱流体源出口36、及びコ
ネクタ108を通してガス分配板120上の熱流体出口174に接続されている
マニホルド帰り42を含んでいる。一般的には、いろいろな熱流体入口及び出口
を接続するために、柔軟なゴムホースまたは他の流体導管を使用することができ
る。ガス注入マニホルドヘッド16は、マニホルド源出口36に接続されている
流体入口38と、コネクタ106を通してガス分配板120上の熱流体入口取付
具170に接続されている流体出口40を含んでいる。動作中、熱流体は、熱流
体マニホルド30からガス注入マニホルドヘッド16へ、そこからガス分配板1
20へ流れる。熱流体は、ガス注入マニホルドヘッド16内の熱流体通路を通っ
て循環してガス注入マニホルドヘッド16の温度を制御し、ガス分配板120に
到達した熱流体は熱流体通路173を通って循環してガス分配板120の温度を
制御する。次いで、熱流体は熱流体出口174を通ってガス分配板120から出
て熱流体マニホルド30へ戻る。次いで、熱流体は排気ポートを通ってシステム
から、そして熱流体排気口へポンプされる。
【0023】 熱流体源は、熱流体マニホルド30上の熱流体源入口32に接続されている。
熱流体源は、所望の温度調整に従って熱流体の温度を変化させるために、冷却流
体を供給する冷却流体源50、及び加熱流体を供給する加熱流体源52を備えて
いることが好ましい。冷却流体源50は、制御弁64を通して熱流体源入口32
に接続され、所望の処理温度(T0)より低い既知の温度(Tc)の冷却流体を供
給する。加熱流体源52も、制御弁64を通して熱流体源入口32に接続され、
所望の処理温度(T0)より高い既知の温度(Th)の加熱流体を供給する。制御
弁64は、図2に示すようにコントローラ70に接続され、それによって制御さ
れる比例、積分、微分(PID)制御弁であることが好ましい。好ましくは冷却
流体源50及び加熱流体源52は、制御弁64から得られる熱流体混合体の温度
が加熱/冷却流体の比率だけに依存するように、既知の温度のそれぞれの流体を
同じ圧力で制御弁64へ供給する。代替として、熱流体混合体の温度を測定する
ために温度センサ(図示せず)を制御弁64内に、またはその付近に位置決めし
、また熱流体を所望の温度に維持するようにコントローラ70は加熱/冷却流体
の比率を相応に調整することができる。
【0024】 代替として、図3aに示すように、冷却流体源50及び加熱流体源52は、単
一ユニットPID弁によってではなく、分離したPID弁54、56、またはオ
ン/オフ切り換え弁によって制御することができる。各制御弁54、56はコン
トローラ70に接続され、それによって制御される。図3bに示す別の代替実施
の形態では、熱流体源入口32に接続されている第3の流体源58が制御弁60
によって制御されて所望の処理温度(T0)の流体を供給し、一方冷却流体源5
0及び加熱流体源52は制御弁54、56によって調整される。各制御弁54、
56、60はコントローラ70に接続され、それによって制御される。
【0025】 好ましくはマイクロプロセッサコントローラである温度コントローラ70は、
冷却流体及び加熱流体の量の比率を調整し、ガス注入マニホルドヘッド16及び
ガス分配板120内を循環する熱流体の温度を変化させてガス注入マニホルドヘ
ッド16及びガス分配板120の温度を制御する。蓋100及びガス分配板12
0上には複数の温度センサ、または熱電対72が選択的に位置決めされ、温度の
読みをコントローラ70に供給する。コントローラ70は、測定された温度が、
所望の処理温度(T0)から受入れ可能な偏差内にあるか否かを決定する。もし
測定された温度が受入れ可能な偏差内にあれば、コントローラ70は冷却流体及
び加熱流体からの熱流体流を同一に維持する。もし測定された温度が受入れ可能
な偏差外にあれば、コントローラ70はガス注入マニホルドヘッド16及びガス
分配板120を冷却または加熱するために、冷却流体及び加熱流体の量の比率を
変化させることによって熱流体の温度を変化させる。好ましくは、ガス分配板1
20の温度を連続的に監視し、温度変化を瞬時にフィードバックしてコントロー
ラ70が熱流体の温度を相応して瞬時に変化させることができるようにする。代
替として、温度は短い間隔で周期的に監視することができる。
【0026】 好ましくは、温度コントローラ70はマイクロプロセッサまたはコンピュータ
コントローラであって、さまざまな温度センサ72からの温度及び相応する熱流
体の温度の変化を感知する。更に好ましくは、熱伝達は熱流体の流量、及び熱流
体の温度と蓋及び/またはガス分配板の温度との間の温度差の関数であるので、
コントローラ70を熱流体ポンプ(図示せず)に接続し、熱流体の圧力及び蓋1
00及びガス分配板120を通って流れる熱流体の流量を変化させて熱伝達を制
御する。一般に、流量または温度差が大きい程、熱流体と蓋及び/またはガス分
配板との間の熱伝達が大きくなる。
【0027】 図4は、本発明の温度制御システムを有するCVDチャンバの蓋100の断面
図であって、蓋100内のさまざまな位置に位置決めされている温度センサ72
のアレイを示している。蓋100全体に分布している温度センサ72が広範な温
度測定サンプルを供給するので、正確な蓋温度評価を行うことができる。好まし
くは、温度センサを蓋全体に分散させ、異なる垂直及び水平位置に配置する。蓋
100の異なるレベル/位置に配列された温度センサは、シャワーヘッドまたは
ガス分配板のような臨界的な位置における温度の読みをも供給する。従って、コ
ントローラ70は、これらの重要な位置における温度変動に迅速に応答し、それ
らを通って流れる熱流体の温度及び/または流量を即時に変化させることによっ
て、温度変動が発生すると直ちにその問題を修正することができる。またコント
ローラは、特定の処理基準の要求に従って蓋100の温度を選択的に測定し、熱
流体を相応に制御することもできる。
【0028】 熱流体の温度を変化させるために、コントローラ70は、熱流体マニホルド入
口32に供給される冷却流体及び加熱流体の比率を変化させる。1つの好ましい
実施の形態では、冷却流体源50及び加熱流体源52は比例(PID)制御弁6
4に接続され、弁64は供給される加熱流体及び冷却流体の比率を調整する。別
の実施の形態では、冷却流体源50は固定流量に維持され、加熱流体源52がP
ID制御弁またはオン/オフ切り換え弁によって制御されるようになっている。
代替では、加熱流体源52を固定流量に維持し、一方冷却流体源50をPID制
御弁またはオン/オフ切り換え弁によって制御する。更に別の実施の形態では、
所望の、または安定状態の処理温度(T0)の熱流体を供給する第3の流体源5
8が、熱流体マニホルド入口32に接続されている。第3の流体源からの温度T 0 の流れは固定された一定量に維持され、冷却流体及び加熱流体の流れがPID
制御弁またはオン/オフ切り換え弁の何れかによって制御される。熱流体の温度
の変化は、熱伝導によって蓋及びシャワーヘッドの温度を変化させる。
【0029】 本発明は、処理温度の変化に迅速に応答する蓋/シャワーヘッドの温度の能動
調整を提供する。蓋/シャワーヘッドの処理温度を調整することによって、処理
結果の均一性及び一貫性のあるウェーハ処理を達成することができる。また、温
度センサ72は、さまざまな処理を受入れるためにさまざまな配列で配置するこ
とができ、コントローラ70は熱流体の流れを相応に調整することができる。
【0030】 図5は、本発明の別の実施の形態の断面図であって、チャンバ蓋内に同心状に
配置されている2つの分離した熱流体通路を含んでいることを示している。好ま
しくは、外側熱流体通路173はチャンバ内の基体の周縁部分の直上に位置し、
一方内側熱流体通路210は基体の中央部分の上に位置する。外側熱流体通路1
73は、第1の制御弁64を通して、第1の熱流体温度の第1の熱流体源に接続
されている。第1の熱流体は、第1の入口170を通して外側熱流体通路173
へ入り、外側熱流体通路173を循環してから第1の出口174から出て行く。
内側熱流体通路210は、第2の制御弁64を通して、第2の熱流体温度の第2
の熱流体源に接続されている。第2の熱流体は、第2の入口212を通して内側
熱流体通路210へ入り、内側熱流体通路210を循環してから第2の出口21
4から出て行く。外側熱流体通路173及び内側熱流体通路210内の熱流体の
異なる温度が、チャンバ蓋100内に同心状の温度勾配を発生させる。一般に基
体の周縁部分の温度の方が基体の中央部分よりも低いから、一般的には第1の熱
流体源の温度を第2の熱流体源の温度よりも高くし、基体の周縁部分の温度を上
昇させて基体全体の温度を均一にする。基体全体が均一な温度になることによっ
て、基体全体にわたって均一な堆積厚さ及び均一な基体抵抗が得られる。
【0031】 代替として、2つより多くの熱流体通路をチャンバ蓋内に配置し、より正確な
基体温度制御を行う。好ましくは、これらの熱流体通路は基体上の温度変化に対
応させて同心状に配列する。一般的には、外側通路内の熱流体の温度を内側通路
の熱流体の温度よりも高くして、基体全体の温度を等化する。異なる温度の熱流
体によって発生させたチャンバ蓋内の温度勾配は、基体全体の温度勾配を等化す
る。
【0032】 チャンバ蓋内に温度勾配を達成するための別の代替は、電子制御弁またはPI
D弁64のような弁によって通路内の熱流体の流れを制御することである。一般
的には、弁64は、外側通路内の熱流体を高い流量とし、内側通路内の熱流体を
低い流量としてチャンバ蓋100内に温度勾配を発生させる。好ましくは、チャ
ンバ蓋100全体に複数の温度センサ72を配置して温度の読みをコントローラ
70へ供給させ、コントローラ70は熱流体通路173及び210内の熱流体の
流れを調整する。これにより、コントローラ70は、急激な温度変化が発生した
時に迅速に応答して熱流体の流れを調整する。
【0033】 上述した実施の形態は、ガス分配板120及びガス注入マニホルドヘッド16
を含むチャンバ蓋100の温度を制御するために、熱流体の熱伝導率を使用して
いるが、本発明は、蓋構成部品上に、またはそれらの中に配置することができる
抵抗型、放射型、対流型、及び/または電気型の加熱/冷却を含む他の形状の加
熱及び冷却も包含する。更に、本発明は、能動温度調整を必要とする他の基体処
理チャンバ及び他の構成部品にも適用できる。例えば、PVDチャンバにおいて
は、1つまたはそれ以上の熱伝導体をPVDターゲットのベース板に取付け、P
VDターゲットの温度を能動的に調整することができる。更に、上述した実施の
形態では本発明を丸い基体に適用しているが、本発明は、例えばフラットパネル
ディスプレイのための矩形基体、及び磁気ヘッドのための方形基体のような、種
々の形状の基体にも適用することができる。
【0034】 以上に本発明の好ましい実施の形態を説明したが、本発明の基本的な範囲から
逸脱することなく本発明の他の、及びさらなる実施の形態を考案することができ
る。従って、本発明の範囲は、特許請求の範囲によってのみ限定されることを理
解されたい。
【図面の簡単な説明】
【図1】 液体冷却材通路を有するガス分配板の分解斜視図である。
【図2】 本発明の温度制御システムを有するCVDチャンバ蓋の斜視図で
ある。
【図3a】 本発明の代替実施の形態の概要を示す部分図である。
【図3b】 本発明の代替実施の形態の概要を示す部分図である。
【図4】 本発明の温度制御システムを有するCVDチャンバ蓋の断面図で
ある。
【図5】 チャンバ蓋内に配置された2つの同心熱流体通路を有する本発明
の代替実施の形態の断面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 トーリア アニシュ アメリカ合衆国 カリフォルニア州 95128 サン ホセ リガロ コート 1471 (72)発明者 クーラナ ニーティン アメリカ合衆国 カリフォルニア州 95051 サンタ クララ ハルフォード アベニュー 1700−#205 Fターム(参考) 4K030 CA04 CA12 EA05 KA11 KA22 KA25 KA26 KA39 KA41 LA15 5F045 BB02 EF05 EJ09 EK10 GB05 GB15

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 処理チャンバのある構成部品の温度を調整する装置であって
    、 a)前記構成部品に熱的に接続されている熱伝導体と、 b)前記熱伝導体に接続され、前記構成部品と前記熱伝導体との間の熱伝達を
    調整するコントローラと、 c)前記構成部品に接続され、温度の読みを前記コントローラへ供給する少な
    くとも1つの温度センサと、 を備えていることを特徴とする装置。
  2. 【請求項2】 前記熱伝導体は、前記構成部品に接して配置されている流体
    チャンネルであり、前記流体チャンネルは流体入口及び流体出口を有しているこ
    とを特徴とする請求項1に記載の装置。
  3. 【請求項3】 前記流体入口に接続され、前記コントローラによって調整さ
    れる冷却流体源及び加熱流体源を更に備えていることを特徴とする請求項2に記
    載の装置。
  4. 【請求項4】 前記コントローラは、前記流体入口内への前記冷却流体源及
    び前記加熱流体源の比率を調整することを特徴とする請求項3に記載の装置。
  5. 【請求項5】 前記コントローラは、前記冷却流体源及び前記加熱流体源を
    独立的にオン/オフ調整することを特徴とする請求項3に記載の装置。
  6. 【請求項6】 前記流体入口に接続されている定流体源を更に備え、前記定
    流体源は指定された温度に維持されている流体の一定の流れを供給することを特
    徴とする請求項3に記載の装置。
  7. 【請求項7】 前記構成部品は、ガス分配構成部品であることを特徴とする
    請求項1に記載の装置。
  8. 【請求項8】 処理チャンバのある構成部品の温度を調整する方法であって
    、 a)前記構成部品に熱的に接続されている熱伝導体を準備するステップと、 b)前記熱伝導体に接続されているコントローラを準備するステップと、 c)前記構成部品に接続され、温度の読みを前記コントローラへ供給する少な
    くとも1つの温度センサを準備するステップと、 d)前記熱伝導体の温度を変化させることによって、前記構成部品と前記熱伝
    導体との間の熱伝達を調整するステップと、 を含んでいることを特徴とする方法。
  9. 【請求項9】 前記熱伝導体は、前記構成部品に接して配置されている流体
    チャンネルであり、前記流体チャンネルは流体入口及び流体出口を有しており、 前記流体入口に接続されている冷却流体源及び加熱流体源を準備するステップ
    を更に含んでいることを特徴とする請求項8に記載の方法。
  10. 【請求項10】 前記コントローラは、前記流体入口内への前記冷却流体源
    及び前記加熱流体源の比率を調整するようになっており、 前記熱伝導体の温度を変化させるステップは、前記流体入口内への前記冷却流
    体源及び前記加熱流体源の比率を変化させることからなる、 ことを特徴とする請求項9に記載の方法。
  11. 【請求項11】 前記コントローラは、前記冷却流体源及び前記加熱流体源
    を独立的にオン/オフ調整するようになっており、 前記熱伝導体の温度を変化させるステップは、前記流体入口内への前記冷却流
    体源及び前記加熱流体源を独立的にオン及びオフ切り換えすることからなる、 ことを特徴とする請求項9に記載の方法。
  12. 【請求項12】 前記流体入口に接続されている定流体源を更に備え、前記
    定流体源は指定された温度に維持されている流体の一定の流れを供給し、 前記熱伝導体の温度を変化させるステップは、前記流体入口内への前記冷却流
    体源及び前記加熱流体源を独立的にオン及びオフ切り換えすることからなる、 ことを特徴とする請求項9に記載の方法。
  13. 【請求項13】 処理チャンバのある構成部品内に熱勾配を発生させる装置
    であって、 a)前記構成部品に取付けられている第1の温度の第1の熱伝導体と、 b)前記構成部品に取付けられている第2の温度の第2の熱伝導体と、 を備えていることを特徴とする装置。
  14. 【請求項14】 前記第1及び第2の熱伝導体は流体チャンネルであり、前
    記各流体チャンネルは流体入口及び流体出口を有していることを特徴とする請求
    項13に記載の装置。
  15. 【請求項15】 前記第1及び第2の熱伝導体は、同心状に配置されている
    ことを特徴とする請求項13に記載の装置。
  16. 【請求項16】 前記第1の熱伝導体はチャンバ内の基体の周縁部分の上に
    配置され、前記第2の熱伝導体は前記チャンバ内の前記基体の中央部分の上に配
    置されていることを特徴とする請求項15に記載の装置。
  17. 【請求項17】 前記第1の温度は、前記第2の温度より高いことを特徴と
    する請求項16に記載の装置。
  18. 【請求項18】 前記第1及び第2の熱伝導体は、同心状に配置されている
    ことを特徴とする請求項13に記載の装置。
  19. 【請求項19】 c)前記第1及び第2の熱伝導体に接続され、前記構成部
    品と前記熱伝導体との間の熱伝達を調整するコントローラを更に備えていること
    を特徴とする請求項13に記載の装置。
  20. 【請求項20】 d)前記構成部品に接続され、温度の読みを前記コントロ
    ーラへ供給する少なくとも1つの温度センサを更に備えていることを特徴とする
    請求項19に記載の装置。
  21. 【請求項21】 前記構成部品は、ガス分配構成部品であることを特徴とす
    る請求項13に記載の装置。
  22. 【請求項22】 処理チャンバのある構成部品内に熱勾配を発生させる方法
    であって、 a)前記構成部品に取付けられている第1の温度の第1の熱伝導体を準備する
    ステップと、 b)前記構成部品に取付けられている第2の温度の第2の熱伝導体を準備する
    ステップと、 を含んでいることを特徴とする方法。
  23. 【請求項23】 前記第1及び第2の熱伝導体は流体チャンネルであり、前
    記各流体チャンネルは流体入口及び流体出口を有していることを特徴とする請求
    項22に記載の方法。
  24. 【請求項24】 c)前記第1及び第2の熱伝導体に接続され、前記構成部
    品と前記熱伝導体との間の熱伝達を調整するコントローラを更に含んでいること
    を特徴とする請求項22に記載の方法。
JP2000542785A 1998-04-08 1999-04-02 基体処理チャンバの構成部品の直接温度制御 Withdrawn JP2002510876A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/057,254 1998-04-08
US09/057,254 US6117245A (en) 1998-04-08 1998-04-08 Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
PCT/US1999/007306 WO1999052126A1 (en) 1998-04-08 1999-04-02 Direct temperature control for a component of a substrate processing chamber

Publications (1)

Publication Number Publication Date
JP2002510876A true JP2002510876A (ja) 2002-04-09

Family

ID=22009468

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000542785A Withdrawn JP2002510876A (ja) 1998-04-08 1999-04-02 基体処理チャンバの構成部品の直接温度制御

Country Status (5)

Country Link
US (1) US6117245A (ja)
EP (1) EP1070336A1 (ja)
JP (1) JP2002510876A (ja)
KR (1) KR20010042455A (ja)
WO (1) WO1999052126A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017092140A (ja) * 2015-11-05 2017-05-25 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
JP2017133111A (ja) * 2011-09-02 2017-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 物理気相堆積チャンバターゲット用の冷却リング
JP2019515137A (ja) * 2016-05-05 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 双ループサセプタ温度制御システム

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
TW518639B (en) * 1999-11-18 2003-01-21 Tokyo Electron Ltd Heat treatment device, cooling treatment device and cooling treatment method
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050229854A1 (en) * 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
US7871532B2 (en) * 2005-02-28 2011-01-18 Tokyo Electron Limited Plasma processing method and post-processing method
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US8410393B2 (en) * 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
KR101367086B1 (ko) * 2013-10-17 2014-02-24 (주)테키스트 반도체 제조 설비를 위한 온도제어 시스템
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113515151B (zh) * 2021-04-14 2022-04-08 上海征世科技股份有限公司 一种mpcvd设备温度控制装置及控制方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP2766433B2 (ja) * 1992-07-23 1998-06-18 株式会社東芝 半導体気相成長装置
JPH06142173A (ja) * 1992-11-05 1994-05-24 Mitsubishi Electric Corp 芳香装置
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
TW262566B (ja) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JPH07326587A (ja) * 1994-06-02 1995-12-12 Hitachi Electron Eng Co Ltd ワーク反応装置の温度調整機構
JPH08187212A (ja) * 1995-01-06 1996-07-23 Yamazaki Sangyo Kk モップ用雑巾挟持体及びモップ
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5953827A (en) * 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017133111A (ja) * 2011-09-02 2017-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 物理気相堆積チャンバターゲット用の冷却リング
JP2017092140A (ja) * 2015-11-05 2017-05-25 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
US10407772B2 (en) 2015-11-05 2019-09-10 Nuflare Technology, Inc. Shower head, vapor phase growth apparatus, and vapor phase growth method
US10550473B2 (en) 2015-11-05 2020-02-04 Nuflare Technology, Inc. Shower head, vapor phase growth apparatus, and vapor phase growth method
US11047047B2 (en) 2015-11-05 2021-06-29 Nuflare Technology, Inc. Shower head, vapor phase growth apparatus, and vapor phase growth method
JP2019515137A (ja) * 2016-05-05 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 双ループサセプタ温度制御システム

Also Published As

Publication number Publication date
WO1999052126A1 (en) 1999-10-14
KR20010042455A (ko) 2001-05-25
EP1070336A1 (en) 2001-01-24
US6117245A (en) 2000-09-12

Similar Documents

Publication Publication Date Title
JP2002510876A (ja) 基体処理チャンバの構成部品の直接温度制御
US6433314B1 (en) Direct temperature control for a component of a substrate processing chamber
KR102164599B1 (ko) 화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링
EP0760022B1 (en) Apparatus and method for delivery of reactant gases
US8888916B2 (en) Thermal reactor with improved gas flow distribution
US6949722B2 (en) Method and apparatus for active temperature control of susceptors
US6744018B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
JP2008509553A (ja) 基板温度プロファイル制御のための方法およびシステム
US9155134B2 (en) Methods and apparatus for rapidly responsive heat control in plasma processing devices
US20040050326A1 (en) Apparatus and method for automatically controlling gas flow in a substrate processing system
US20050172904A1 (en) Plasma processing apparatus and plasma processing method
US6656838B2 (en) Process for producing semiconductor and apparatus for production
TW201318062A (zh) 載置台溫度控制裝置及基板處理裝置
US6403479B1 (en) Process for producing semiconductor and apparatus for production
KR101039085B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
TW202034446A (zh) 用於增進熱均勻性的具有多層加熱器之陶瓷支座
JP2008270589A (ja) 半導体装置の製造方法およびその製造装置
KR102572740B1 (ko) 플랫 패널 프로세스 장비를 위한 온도 제어식 가스 확산기
US20220243332A1 (en) Temperature control of a multi-zone pedestal
US5279671A (en) Thermal vapor deposition apparatus
JPH0945618A (ja) 半導体製造装置におけるガス加熱方式のウエハ加熱装置
JPH04359510A (ja) 成膜処理装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060606