JP2002504751A - 電子ビーム硬化により作製された高ガラス転移温度を有する低誘電率フィルム - Google Patents

電子ビーム硬化により作製された高ガラス転移温度を有する低誘電率フィルム

Info

Publication number
JP2002504751A
JP2002504751A JP2000532867A JP2000532867A JP2002504751A JP 2002504751 A JP2002504751 A JP 2002504751A JP 2000532867 A JP2000532867 A JP 2000532867A JP 2000532867 A JP2000532867 A JP 2000532867A JP 2002504751 A JP2002504751 A JP 2002504751A
Authority
JP
Japan
Prior art keywords
polymer layer
electron beam
polymer
support
arylene ether
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000532867A
Other languages
English (en)
Inventor
ドレイジ,ジェームズ
ヤン,ジウンジュン
チョイ,ドン・キュ
Original Assignee
アライドシグナル・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アライドシグナル・インコーポレイテッド filed Critical アライドシグナル・インコーポレイテッド
Publication of JP2002504751A publication Critical patent/JP2002504751A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/068Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using ionising radiations (gamma, X, electrons)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • B05D3/0254After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/065After-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S522/00Synthetic resins or natural rubbers -- part of the class 520 series
    • Y10S522/904Monomer or polymer contains initiating group
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S522/00Synthetic resins or natural rubbers -- part of the class 520 series
    • Y10S522/904Monomer or polymer contains initiating group
    • Y10S522/905Benzophenone group

Abstract

(57)【要約】 支持体上での誘電性コーティングの製造方法。それによって、ポリ(アリーレンエーテル)又はフッ素化ポリ(アリーレンエーテル)が電子ビーム線への暴露により硬化される。ポリマー鎖間の架橋を起こすと考えられる化学反応をポリマー構造中に起こす大面積電子ビームが使用される。架橋は、より高い機械的強度とガラス転移温度、より低い熱膨張係数、より大きな熱的化学的安定性、及び攻撃的な有機溶剤に対するより大きな抵抗をもたらす。そのポリマー層は、場合により、加熱されても、熱アニーリングされても、及び/又はUV化学線に曝されても良い。

Description

【発明の詳細な説明】
【0001】
【発明の背景】
本発明は,集積回路の製造に有用な低誘電率フィルムに関する。
【0002】
【先行技術の説明】
一定の有機ポリマー誘電性フィルムは、集積回路(IC)の製造に重要な用途
を有している。そのようなポリマーは、進歩したICデバイスのマルチレベルの
インターコネクト構造体用の電気絶縁層として使用される。これら材料は、それ
らの誘電率が標準的なICインターコネクト絶縁体、つまり約4.0の誘電率を
有する二酸化ケイ素(ガラス)の誘電率よりも低いので、魅力的である。誘電性
材料として選択される有機ポリマー、特に4.0未満の誘電率、特に3.0未満
の誘電率を有するポリマーの使用で、回路部品のより速いシグナルプロセシング
、より低い電力消費、及び少ないノイズがもたらされる。
【0003】 ICデバイスにおけるインターコネクト構造体の製造には、典型的には、その
回路トランジスターを連絡させる配線パターンを形成するために、金属(通常は
、アルミニウム又は銅)の堆積が必要である。これら堆積プロセスは、支持体が
約350〜500℃の温度に維持されるときに起こる。かくして、電気絶縁材料
は、その金属堆積温度で化学的及び機械的に安定でなければならない。
【0004】 この用途のために考えられている多くの有機ポリマー絶縁フィルムは、400
℃以上又は350℃でも化学的及び機械的に不安定である。そのような多くの材
料は、400℃未満又は300℃未満のガラス転移温度(Tg)を有する。ポリ
マーは、ガラス状態からゴム状態になるときにこのガラス転移温度又はTgを通
過する。ポリマーは、概して、アルミニウム又は銅と比べて機械的強度が低い。
ポリマーは、典型的には、アルミニウム又は銅と比べて高い熱膨張係数(TEC
)を有する。ポリマーは、ガラス状態からゴム状態になるとき、その機械的強度
又はモジュラスが実質的に低下する。この用途では、ICインターコネクト構造
体は、アルミニウム又は銅の配線パターンとポリマー絶縁体層から作製されるだ
ろう。TECの差からみて、ポリマーは、支持体温度が室温から350℃及びそ
れ以上に上がるにつれて、配線よりも大きな度合いで劇的に膨張するであろう。
TECにおけるこのミスマッチは、大きな機械的応力を生じさせて、その金属イ
ンターコネクト構造体に厳しい物理的損傷を起こし得る。金属配線は、壊れるか
又はそれらの元の位置から逸れるかも知れない。熱的及び機械的安定性に加えて
、絶縁層は、同じ高さのプロセシング温度で熱的に分解してはならない。例えば
、熱分解は、ポリマーからの揮発断片の放出によって質量の損失をもたらし得る
【0005】 更には、そのフィルムは、フォトレジストプロセシング工程に対して不透性で
なければならない。そのようなプロセスは、フォトレジストの現像及びストリッ
ピング用の攻撃的な液体化学物質にその絶縁層を曝すことを包含する。これら溶
剤は、ポリマー絶縁層を膨潤させるか又はそれを支持体から溶かし去ってしまう
かも知れない。
【0006】 ポリマー絶縁層の標準的プロセシングでは、その層は、400℃又はより高い
温度、典型的には400〜500℃で、少なくとも30分、より典型的には60
分で硬化される。この硬化プロセスにおいて、そのポリマーは、その材料を強く
かつガラス質にしそして普通の有機溶剤に対して不透性にする、架橋のような化
学反応を受ける。これらは絶縁層にとって望ましい特性である。しかしながら、
これら特性を達成するのに必要な時間及び温度条件は、アルミニウム線又は銅線
に損傷を与え得る。アルミニウム線又は銅線は400〜500℃の条件に短時間
(金属堆積におけるように5分まで)は安全に曝され得るが、時間が30〜60
分という長さになると損傷を受け得る。この損傷は、熱的機械的応力が局所にお
いてアルミニウム線を細くする、応力誘発ボイド形成として特徴付けられる。か
くして、絶縁層の堆積及び形成を完結するのに要求される時間を最小にするのが
望ましい。
【0007】 本発明は、ICインターコネクト製造のためのポリマー絶縁層を硬化させるた
めの方法を提供することにより、この問題を解決する。この硬化方法は、ポリマ
ー層の電子ビーム線への暴露を含んでなる。この電子ビームという手段は、フィ
ルムの全ての部分を電子の均一な束に曝すためにデザインされかつ使用される。
この手段は、大面積電子ビーム手段としても知られている。この電子ビーム暴露
は、ポリマー鎖間に架橋を形成させる化学反応をポリマー構造内に起こさせる。
それら架橋は、より高い機械的強度及びより高いTg、より低いTEC、より大
きな熱的化学的安定性、及び攻撃的な有機溶剤に対してより大きな抵抗をもたら
す。
【0008】
【発明の要旨】
本発明は、支持体上に誘電性コーティングを形成する方法であって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を形
成し; b)該誘電性組成物を支持体上に堆積させ、それによってポリマー層を形成し
; c)場合により、該ポリマー層を加熱し; d)場合により、該ポリマー層を化学線に曝し; e)該ポリマー層を電子ビーム線に曝し;そして f)場合により、該曝したポリマー層を熱アニーリングする ことを含んでなる方法を提供する。
【0009】 本発明は、支持体上に誘電性コーティングを形成する方法であって: a)ポ
リ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)からなる群
から選択される少なくとも1のポリマーを含んでなる誘電性組成物を形成し; b)該誘電性組成物を支持体上に堆積させ、それによってポリマー層を形成し
; c)該ポリマー層を加熱し; d)該ポリマー層を化学線に曝し; e)該ポリマー層を電子ビーム線に曝し;そして f)該曝したポリマー層を熱アニーリングする ことを含んでなる方法も提供する。
【0010】 本発明は、更に、支持体上に誘電性コーティングを形成する方法であって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を形
成し; b)該誘電性組成物を支持体上に堆積させ、それによってポリマー層を形成し
;そして c)該ポリマー層を電子ビーム線に曝す ことを含んでなる方法を提供する。
【0011】 本発明は、なおも、半導体デバイスであって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を形
成し; b)該誘電性組成物を支持体上に堆積させ、それによってポリマー層を形成し
; c)場合により、該ポリマー層を加熱し; d)場合により、該ポリマー層を化学線に曝し; e)該ポリマー層を電子ビーム線に曝し;そして f)場合により、該曝したポリマー層を熱アニーリングする ことを含んでなる方法によって製造される半導体デバイスを提供する。
【0012】 本発明は、フィルム又はマイクロ電子構造体であって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を支
持体上に堆積させ; b)場合により、該ポリマー層を加熱し; c)場合により、該ポリマー層を化学線に曝し; d)該ポリマー層を電子ビーム線に曝し;そして e)場合により、該曝したポリマー層を熱アニーリングする ことを含んでなる方法によって製造されるものも提供する。
【0013】 本発明は、更に、支持体上に誘電性コーティングを形成する方法であって: a)有機誘電性ポリマー組成物を支持体上に堆積させ、それによってポリマー
層を形成し; b)場合により、該ポリマー層を加熱し; c)場合により、該ポリマー層を化学線に曝し; d)該ポリマー層を電子ビーム線に曝し;そして e)場合により、該曝したポリマー層を熱アニーリングする ことを含んでなる方法を提供する。
【0014】
【好ましい態様の詳細な説明】
本発明によれば、支持体上で誘電性コーティングが形成される。このコーティ
ングは、好ましくは、1又はそれを超えるポリ(アリーレンエーテル)又はフッ
素化ポリ(アリーレンエーテル)を含んでなる有機誘電性ポリマーを含んでなる
。それは、好ましくは、誘電性組成物を形成するための少なくとも1の適する溶
剤で形成される。
【0015】 この誘電性組成物は、予め形成されたポリ(アリーレンエーテル)若しくはフ
ッ素化ポリ(アリーレンエーテル)ポリマー又は支持体上で形成されてから重合
されてもよいモノマー状態若しくはオリゴマー状態のプレポリマーを含んでもよ
い。適するポリ(アリーレンエーテル)又はフッ素化ポリ(アリーレンエーテル
)ポリマーは、米国特許第5,155,175号;5,114,780号;及び
5,115,082号から当該技術分野で公知である。好ましいポリ(アリーレ
ンエーテル)及びフッ素化ポリ(アリーレンエーテル)ポリマーは、1997年
12月12日に出願された米国特許出願第08/990,157号に開示されて
おり、この出願は、参照により本明細書に組み入れられるものとする。そのよう
なポリ(アリーレンエーテル)は、構造:
【0016】
【化6】
【0017】 〔式中、Yは第1の2価アリーレン基であり、Yが第2の2価アリーレン基
であって、各々の2価アリーレン基は、
【0018】
【化7】
【0019】 及びそれらの混合物からなる第1群から選択され、Y及びYの両方は、
【0020】
【化8】
【0021】 であるように選択され、n=0.1〜0.9であって、m=1−nであるほか、
n=0〜1であって、m=1−nであり;Arは、
【0022】
【化9】
【0023】 からなる第2群から選択される第3の2価アリーレン基であり;そして、Ar
は、
【0024】
【化10】
【0025】 及びそれらの混合物からなる第3群から選択される第4の2価アリーレン基であ
る。〕 を有する。
【0026】 このポリマーは、純粋な又はニートな状態(いかなる溶剤とも混合されていな
い)で誘電性組成物中に存在しても、溶剤と混合された溶液で存在してもよい。
溶剤が存在する場合には、ポリマーは、好ましくは、約1〜約50重量%、より
好ましくは約3〜約20重量%の量で存在する。その溶剤成分は、好ましくは、
誘電性組成物の約50〜約99重量%、より好ましくは約80〜約97重量%の
量で存在する。適する溶剤には、シクロペンタノン、シクロヘキサノン、シクロ
ヘプタノン及びシクロオクタノンを含む環状ケトン;アルキル基が1〜約4の炭
素原子を有するN−アルキルピロリジノン、及びN−シクロヘキシルピロリジノ
ンのような環状アミドのような非プロトン性溶剤が含まれるが、他を排除するも
のではない。
【0027】 誘電性組成物を形成したら、適当な支持体上に堆積させ、それによってその支
持体上にポリマー層を形成する。堆積は、慣用的なスピンコーティング、ディッ
プコーティング、ローラーコーティング、噴霧、化学蒸着法、又は当該技術分野
で周知のメニスカスコーティング法により行うことができる。スピンコーティン
グが最も好ましい。支持体上のポリマー層の厚さは、堆積法及び設定されたパラ
メーターに依存して変動し得るが、典型的には、厚さは、約500〜約50,0
00Å、好ましくは約2000〜約12000Åの範囲であることができる。支
持体に適用される誘電性組成物の量は、約1〜約10ml、好ましくは約2〜約
8mlで変動してもよい。好ましい態様においては、その液体誘電性組成物は、
公知のスピン技術に従って、支持体の上側表面上にスピンオンされる。好ましく
は、このポリマー層は、支持体の中央に液体誘電性組成物を適用してから、その
溶液を支持体表面にわたって一様に分散させるために、約500〜約6000r
pm、好ましくは約1500〜約4000rpmの速度で回転ホイール上で約5
〜約60秒、好ましくは約10〜約30秒間スピンさせることにより適用される
。そのポリマー層は、好ましくは、約1〜約3g/cmの密度を有する。
【0028】 典型的な支持体には、集積回路又は他のマイクロ電子デバイスに加工されるの
に適するものが含まれる。本発明に適する支持体には、ガリウムヒ素(GaAs
);ゲルマニウム;シリコン;シリコンゲルマニウム;結晶質シリコン、ポリシ
リコン、非晶質シリコン、エピタキシャルシリコン及び二酸化ケイ素(SiO
)のようなシリコン類を含有する組成物;及びそれらの混合物のような半導体材
料が含まれるが、他を排除するものではない。場合により配線が支持体表面上に
あってもよい。配線が存在する場合、典型的には、それらは周知の平版印刷法に
より形成され、そして、金属、酸化物、窒化物又はオキシ窒化物から構成されて
いてもよい。配線に適する材料には、シリカ、窒化ケイ素、窒化チタン、窒化タ
ンタル、アルミニウム、アルミニウム合金、銅、銅合金、タンタル、タングステ
ン及びオキシ窒化ケイ素が含まれる。これら配線は、集積回路の導体又は絶縁体
を形成する。それらは、典型的には、接近しているとはいえ、好ましくは約20
マイクロメーター又はそれ未満、より好ましくは約1マイクロメーター又はそれ
未満、最も好ましくは約0.05〜1マイクロメーターの距離で互いに離れてい
る。
【0029】 ポリマーは、場合により、残留溶剤を飛ばすために又はその分子量を増加させ
るために加熱されてもよい。加熱は、空気若しくは不活性雰囲気中でのホットプ
レート上での加熱のような慣用的手段により行われても、空気若しくは不活性雰
囲気中で炉若しくはオーブン内で行われても、減圧炉若しくは減圧オーブン内で
行われてもよい。加熱は、好ましくは約80〜約500℃、より好ましくは約1
50〜約425℃の温度で行われる。この加熱は、好ましくは約1〜約360分
、より好ましくは約2〜約60分で行われる。場合により、電子ビーム処理の後
にポリマー層を加熱することを選んでも良い。電子ビーム暴露後のこの加熱は、
電子ビーム暴露前に行われる加熱で示した条件と同じ条件で行うことができる。
電子ビーム後加熱の目的は、ポリマーが、後で行われ得るICインターコネクト
加工工程で使用される最高温度における全ての可能な熱反応を確実に行わせるこ
とである。かくして、後のICインターコネクト加工工程で使用される最高温度
が400℃であるならば、電子ビーム後処理はこの温度で行われるであろう。使
用される最高温度に対して安定なポリマー層を有することで、インターコネクト
構造の形成は大きく簡略化される。
【0030】 このポリマー層は、場合により、その分子量を増加させるためにUV光のよう
な化学線に曝されてもよい。暴露の量は、約100〜約300mJ/cmであ
ることができる。 ポリマー層の電子ビーム暴露は、加熱処理の前でも後でもよい。ポリマー層は
、そこに入れられた支持体に電子ビーム線を提供する手段を有するいかなるチャ
ンバー内で電子ビームに曝されてもよい。好ましくは、大面積電子線源を提供す
る電子ビームチャンバーが使用される。適する電子ビームチャンバーは、商品名
“ElectronCureTM”で、AlliedSignal Inc.の
構成単位であるElectron Visionから商業的に入手可能である。
そのような装置の作動原理及び性能特性は、米国特許第5,001,178号に
記載されている。なお、参照により本明細書に組み入れられるものとする。電子
ビーム暴露の温度は、好ましくは約20〜約450℃、より好ましくは約150
〜約400℃の範囲である。電子ビームエネルギーは、好ましくは約1〜約30
KeV、より好ましくは約3〜約10KeVである。電子の線量は、好ましくは
約500〜約50,000μC/cm、より好ましくは約3,000〜約20
,000μC/cmである。電子ビーム装置内の周囲ガスは次のガスのいずれ
であってもよい:窒素、酸素、水素、アルゴン、又はキセノン、又はこれらのガ
スのあらゆる組み合わせ。電子ビーム流は、好ましくは約1〜約40mA、より
好ましくは約5〜約20mAである。電子ビーム処理の最終結果物は、約350
℃を上回るTg、より好ましくは約400℃を上回るTgを有し、約3.0を下
回る誘電率を有し、攻撃的な化学物質に対し抵抗性であり、そして良好な熱安定
性と化学安定性を有するポリマー層であろう。
【0031】 任意の工程として、電子ビーム処理の前及び/又は後にポリマー層を熱アニー
リングしてもよい。このアニーリングプロセスは、支持体を約200〜約105
0℃の温度で約1〜約360分かけて加熱することにより達成され得る。このア
ニーリングプロセスを大気圧でオーブン又は管状炉内で行っても良い。このアニ
ーリングプロセスを減圧で行っても良い。この熱アニーリングは、表面の架橋と
緻密化をもたらす。
【0032】 本発明の結果として、有利に低い誘電率を有する誘電性コーティングが形成さ
れる。そのようなコーティングは、好ましくは約1〜約3.5、より好ましくは
約1.3〜約3.0、最も好ましくは約1.5〜約2.5の誘電率を有する。更
に、それらは、約425℃又はそれを超える硬化温度を可能にする熱安定性を示
す。 以下の非限定的な実施例は、本発明を例示するのに役立つ。
【0033】
【実施例】実施例1 35,000の分子量を有するポリ(アリーレンエーテル)ポリマーの薄いフ
ィルムを慣用的なスピンコーティング技術を使用して4インチシリコンウェハー
上に形成した。スピンコーティング後、そのフィルムを150℃の温度で2分間
ホットプレート焼き付けに付した。スピン及び焼き付け処理後のフィルム厚は、
8000〜10000Åであった。N気流を有する大気圧の水平炉内で425
℃で1時間、熱硬化を行った。
【0034】 大面積電子線源とウェハーの加熱用の石英ランプを取り込んだElectro
nCureTM30チャンバー内で電子ビーム暴露を行った。その冷陰極ガス線
源は、実質的に均一な放射を有する大面積電子ビーム(直径200mm以上)を
その全表面上にもたらした。電子放射は、陽極グリッドに適用した低バイアス電
圧によってコントロールされた。この実験では、2種類の異なる電子ビーム暴露
条件、つまり固定したエネルギー(8KeV)で低線量と高線量(3及び10m
C/cm)を用いた。8KeVの電子エネルギーでの電子ビーム透過深度は約
1μmであった。かくして、全フィルム厚が電子ビームによって照射されたと推
定される。電子ビーム暴露は、200℃の温度でアルゴン雰囲気(10〜30ミ
リトル)中で行われた。表1は、電子ビーム条件及び電子ビーム暴露前の熱処理
に関しての実験測定基準を示す。
【0035】 室温応力測定及び応力−温度サイクル実験をTencor Flexus応力
測定システムを使用して行った。応力−温度サイクル実験は室温から500℃で
行い、加熱段階の間は温度を4℃/分で昇温させ、冷却段階の間は温度を500
℃から室温までを7時間かけて指数様式で低下させた。応力−温度曲線からTg
を導いた。Tgは、フィルム応力がもはや温度の増加に伴って変化しなくなる温
度のことである。 フィルムの屈折率及び厚さをそれぞれRudolph AutoEL III
楕円偏光測定器及びNanospec AFTを使用して測定した。誘電率は、
MOSキャパシター構造体を使用して測定した。後者のものは、ポリ(アリーレ
ンエーテル)のフィルムを4インチ径のSiウェハー上にコーティングしてから
、そのフィルム上にAlドットを蒸着することにより作製した。それらMOSキ
ャパシターを使用して1MHzでC−V曲線を測定した。このC−V曲線の蓄積
領域に対応するキャパシタンス、フィルムの厚さ、及びそのキャパシター(Al
ドット)面積から誘電率を導いた。溶剤抵抗性は、フィルムをN−メチルピロリ
ドン(NMP)中に90℃で1時間浸漬する前と後のフィルム厚を測定すること
によって試験した。
【0036】
【表1】
【0037】フィルム特性決定 熱硬化及び電子ビーム硬化したフィルムについての室温で得られた屈折率、収
縮率及び応力のデータを表2に纏める。熱硬化に比べて、電子ビーム硬化は高い
屈折率をもたらす。電子ビーム線量を3mC/cmから10mC/cmに増
加すると、屈折率が熱硬化と比べて有意に増加する。フィルムをまず熱硬化させ
てから電子ビーム硬化させると、より高い屈折率が得られることが分かった。収
縮率は電子ビーム線量の増加につれて増加する。そして、屈折率の場合と同じよ
うに、熱硬化を電子ビーム暴露に先行させると、収縮率はもっと高くなる。室温
で測定したフィルム応力は、電子ビーム硬化したフィルムと熱硬化したフィルム
について大体同じであった。全てのフィルムに引張応力がかかっていた。応力測
定の実験誤差は、約+/−5MPaであった。応力と電子ビーム線量との間に明
確な関係はなかった。電子ビーム硬化フィルムが熱硬化フィルムに比べて大きな
収縮を受けても、電子ビーム硬化フィルムと熱硬化フィルムの応力レベルが大体
同じであるったことは興味深い。
【0038】
【表2】
【0039】 図1は、電子ビーム硬化ポリ(アリーレンエーテル)フィルムについてのFT
IRスペクトルを熱硬化フィルムと比較して示す。図1は、熱硬化フィルムと低
線量電子ビーム硬化フィルムが、同一のFTIRスペクトルを有したことを示す
。かくして、電子ビーム硬化フィルムと熱硬化フィルムとの間には化学的に有意
な構造上の差異はない。高線量電子ビーム硬化フィルムも、図2に示すように類
似のFTIRスペクトルを示すが、熱硬化フィルムと比較して吸収が僅かに広か
った。高線量電子ビーム硬化フィルムも、3500cm−1に小さな広い吸収を
有した。この吸収はポリマー中にOH基が存在することを示唆するが、誘電率デ
ータ(以下を参照のこと)はこれを支持しない。この吸収の供給源は、まだ研究
中である。高線量電子ビーム硬化フィルム中のこれらより広い吸収は、架橋反応
からもたらされたものであったかも知れない。
【0040】溶剤抵抗性 表3は、N−メチルピロリドン(NMP)中に90℃で1時間浸漬した後のフ
ィルムの厚さ保持率(%)を示す。フィルム厚の有意な増加、即ち、溶剤中で膨
潤したことが熱硬化フィルムについて見られる。しかしながら、電子ビーム硬化
フィルムの厚さは、電子ビーム暴露条件に関係なく未変化のままであった。
【0041】
【表3】
【0042】ガラス転移温度 図3〜6は、それぞれ、熱硬化、低線量での電子ビーム硬化、及び高線量での
電子ビーム硬化後のフィルムに関して行った応力−温度サイクル試験についての
結果を示すものである。熱硬化と比較して、低線量電子ビーム硬化ではガラス転
移温度に殆ど影響がない。しかしながら、高線量電子ビーム硬化では、ガラス転
移温度が400℃を超えて高められている。電子ビーム硬化フィルムに対して行
われた試験では、電子ビーム線量に関係なく、第1サイクルにおいて、加熱段階
に比べて冷却段階において応力値が一貫して高いというヒステリシスがあった。
室温での最終応力は60MPaであり、この試験の開始時よりも50%高い。図
6は、高線量電子ビーム硬化フィルムには第2サイクル実験で本質的にヒステリ
シスが起こらなかったことを示している。この場合には、初期及び終期応力が室
温で60MPaである。ヒステリシスを説明するために、電子ビーム暴露がこれ
ら試験の最大温度よりもずっと低い200℃で行われたことは考慮に値する。追
加の架橋反応又は他の構造変化が、第1応力−温度サイクルにおいて200℃以
上で起こっているかも知れない。次いで、第2サイクルでは、そのフィルムは完
全にアニーリングされた高Tg材料として挙動したため、ヒステリシスが起こら
なかったのである。
【0043】 初期熱硬化後、電子ビーム硬化後、及び室温と500℃の間での熱サイクル試
験後に誘電率を測定した。表4はそれら結果を纏めたものである。熱硬化フィル
ムについて誘電率は、この材料についての先の測定値と一致する2.8であった
。高線量電子ビーム硬化フィルムは、あまり変わらない2.7の誘電率であった
。通常の実験誤差は、約+/−0.2である。別の組の実験で、室温と500℃
の間での熱サイクル後に誘電率を測定した。電子ビーム硬化フィルムについての
誘電率は、電子ビーム硬化条件に関係なく、熱硬化フィルムの誘電率と同じであ
った。
【0044】
【表4】
【0045】 上記の結果は、電子ビーム硬化、特に高線量での硬化が、ポリ(アリーレンエ
ーテル)フィルムについて、溶剤抵抗性及びガラス転移温度のような特性を高め
ることを示した。更には、電子ビーム硬化は、熱硬化フィルムに比べて誘電率を
上昇させなかった。電子ビーム暴露は、シロキサンポリマーのようなケイ素−酸
素をベースとするポリマーフィルムのファミリーにおけるような、ポリ(アリー
レンエーテル)フィルムの化学構造の有意な変化をもたらさなかった。これら結
果は、ポリ(アリーレンエーテル)誘電性コーティングについて、電子ビーム硬
化が慣用的な熱硬化に有意に優ることを示唆している。
【図面の簡単な説明】
【図1】 熱硬化フィルムについてのFTIRスペクトルを低い線量の電子
ビームで硬化させたポリ(アリーレンエーテル)フィルムと比較して示す。
【図2】 熱硬化フィルムについてのFTIRスペクトルを高い線量の電子
ビームで硬化させたポリ(アリーレンエーテル)フィルムと比較して示す。
【図3】 本発明に従って熱硬化させたフィルムについての、温度を関数と
した応力を示す。
【図4】 本発明に従って低い線量の電子ビームで硬化させたフィルムにつ
いての、温度を関数とした応力を示す。
【図5】 本発明に従って高い線量の電子ビームで硬化させたフィルムにつ
いての、温度を関数とした応力を示す。
【図6】 本発明に従って高い線量の電子ビーム(第2サイクル)で硬化さ
せたフィルムについての、温度を関数とした応力を示す。
【手続補正書】
【提出日】平成12年10月20日(2000.10.20)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SZ,UG,ZW),EA(AM ,AZ,BY,KG,KZ,MD,RU,TJ,TM) ,AL,AM,AT,AU,AZ,BA,BB,BG, BR,BY,CA,CH,CN,CU,CZ,DE,D K,EE,ES,FI,GB,GE,GH,GM,HU ,ID,IL,IN,IS,JP,KE,KG,KP, KR,KZ,LC,LK,LR,LS,LT,LU,L V,MD,MG,MK,MN,MW,MX,NO,NZ ,PL,PT,RO,RU,SD,SE,SG,SI, SK,SL,TJ,TM,TR,TT,UA,UG,U Z,VN,YU,ZW (72)発明者 ヤン,ジウンジュン アメリカ合衆国カリフォルニア州95014, カパーティーノ,ホームステッド・ロード 20800 (72)発明者 チョイ,ドン・キュ 大韓民国キョウンキード 463−075,ス ン・ナム・シ,ブン−ドン・グ,サン・ユ オン,ホタプ・ドン,アパートメント 506−1401 Fターム(参考) 4J031 CA06 CA82 CA86 CC05 5F058 AA10 AC05 AF04 AG01 AG09 AG10 AH02

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 支持体上に誘電性コーティングを形成する方法であって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
    らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を形
    成し; b)該誘電性組成物を支持体上に堆積させ、それによってポリマー層を形成し
    ; c)場合により、該ポリマー層を加熱し; d)場合により、該ポリマー層を化学線に曝し; e)該ポリマー層を電子ビーム線に曝し;そして f)場合により、該曝したポリマー層を熱アニーリングする ことを含んでなる方法。
  2. 【請求項2】 ポリマーがポリ(アリーレンエーテル)である、請求項1記
    載の方法。
  3. 【請求項3】 ポリマーがフッ素化ポリ(アリーレンエーテル)である、請
    求項1記載の方法。
  4. 【請求項4】 ポリ(アリーレンエーテル)が、構造: 【化1】 〔式中、Yは第1の2価アリーレン基であり、Yが第2の2価アリーレン基
    であって、各々の2価アリーレン基は、 【化2】 及びそれらの混合物からなる第1群から選択され、Y及びYの両方は、 【化3】 であるように選択され、n=0.1〜0.9であって、m=1−nであるほか、
    n=0〜1であって、m=1−nであり;Arは、 【化4】 からなる第2群から選択される第3の2価アリーレン基であり;そして、Ar
    は、 【化5】 及びそれらの混合物からなる第3群から選択される第4の2価アリーレン基であ
    る。〕 を有する、請求項1記載の方法。
  5. 【請求項5】 誘電性組成物が支持体上にスピン堆積される、請求項1記載
    の方法。
  6. 【請求項6】 工程(c)が行われる、請求項1記載の方法。
  7. 【請求項7】 ポリマー層が、電子ビーム線に曝される前に加熱される、請
    求項6記載の方法。
  8. 【請求項8】 ポリマー層が、電子ビーム線に曝された後に加熱される、請
    求項6記載の方法。
  9. 【請求項9】 工程(d)が行われる、請求項1記載の方法。
  10. 【請求項10】 ポリマー層が、該ポリマーの分子量を増加させるのに十分
    な条件下でUV光に曝される、請求項9記載の方法。
  11. 【請求項11】 ポリマー層が、約100〜約300mJ/cmで曝され
    る、請求項9記載の方法。
  12. 【請求項12】 電子ビーム暴露が、約10−5〜約10トルの減圧度で
    、約20〜約450℃の温度で行われる、請求項1記載の方法。
  13. 【請求項13】 電子ビーム暴露が、約1〜約30KeVのエネルギーレベ
    ルで行われる、請求項1記載の方法。
  14. 【請求項14】 電子ビーム暴露が、約500〜約50,000μC/cm
    の電子線量で行われる、請求項1記載の方法。
  15. 【請求項15】 電子ビーム暴露が、均一な大面積電子ビーム線源からの広
    くて大きなビームの電子ビーム線で行われる、請求項1記載の方法。
  16. 【請求項16】 電子ビーム暴露が、約26〜約1652cm(約4〜約
    256インチ)の面積を覆う均一な大面積電子ビーム線源からの広くて大きな
    ビームの電子ビーム線で行われる、請求項1記載の方法。
  17. 【請求項17】 工程(f)が行われる、請求項1記載の方法。
  18. 【請求項18】 熱アニーリングが、約80〜約500℃の温度で約1〜約
    360分かけて支持体を加熱することにより行われる、請求項1記載の方法。
  19. 【請求項19】 支持体が、シリコン、ゲルマニウム、シリコンゲルマニウ
    ム又はガリウムヒ素を含んでなる、請求項1記載の方法。
  20. 【請求項20】 支持体が少なくとも1の半導体材料を含んでなる、請求項
    1記載の方法。
  21. 【請求項21】 支持体が、ガリウムヒ素;シリコン;及び、結晶質シリコ
    ン、ポリシリコン、非晶質シリコン、エピタキシャルシリコン及び二酸化ケイ素
    のようなシリコン類を含有する組成物;及びそれらの混合物;からなる群から選
    択される少なくとも1の半導体材料を含んでなる、請求項20記載の方法。
  22. 【請求項22】 支持体がその表面上に配線のパターンを有する、請求項2
    0記載の方法。
  23. 【請求項23】 配線が、金属、酸化物、窒化物又はオキシ窒化物を含んで
    なる、請求項22記載の方法。
  24. 【請求項24】 請求項1記載の方法に従って製造されたコートされた支持
    体。
  25. 【請求項25】 支持体上に誘電性コーティングを形成する方法であって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
    らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を形
    成し; b)該誘電性組成物を支持体上に堆積させ、それによってポリマー層を形成し
    ; c)該ポリマー層を加熱し; d)該ポリマー層を化学線に曝し; e)該ポリマー層を電子ビーム線に曝し;そして f)該曝したポリマー層を熱アニーリングする ことを含んでなる方法。
  26. 【請求項26】 支持体上に誘電性コーティングを形成する方法であって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
    らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を形
    成し; b)該誘電性組成物を支持体上に堆積させ、それによってポリマー層を形成し
    ;そして c)該ポリマー層を電子ビーム線に曝す ことを含んでなる方法。
  27. 【請求項27】 半導体デバイスであって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
    らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を形
    成し; b)該誘電性組成物を支持体上に堆積させ、それによってポリマー層を形成し
    ; c)場合により、該ポリマー層を加熱し; d)場合により、該ポリマー層を化学線に曝し; e)該ポリマー層を電子ビーム線に曝し;そして f)場合により、該曝したポリマー層を熱アニーリングする ことを含んでなる方法によって製造される半導体デバイス。
  28. 【請求項28】 フィルムであって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
    らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を支
    持体上に堆積させ; b)場合により、該ポリマー層を加熱し; c)場合により、該ポリマー層を化学線に曝し; d)該ポリマー層を電子ビーム線に曝し;そして e)場合により、該曝したポリマー層を熱アニーリングする ことを含んでなる方法によって製造されるフィルム。
  29. 【請求項29】 マイクロ電子構造体であって: a)ポリ(アリーレンエーテル)及びフッ素化ポリ(アリーレンエーテル)か
    らなる群から選択される少なくとも1のポリマーを含んでなる誘電性組成物を支
    持体上に堆積させ; b)場合により、該ポリマー層を加熱し; c)場合により、該ポリマー層を化学線に曝し; d)該ポリマー層を電子ビーム線に曝し;そして e)場合により、該曝したポリマー層を熱アニーリングする ことを含んでなる方法によって製造される構造体。
  30. 【請求項30】 支持体上に誘電性コーティングを形成する方法であって: a)有機誘電性ポリマー組成物を支持体上に堆積させ、それによってポリマー
    層を形成し; b)場合により、該ポリマー層を加熱し; c)場合により、該ポリマー層を化学線に曝し; d)該ポリマー層を電子ビーム線に曝し;そして e)場合により、該曝したポリマー層を熱アニーリングする ことを含んでなる方法。
JP2000532867A 1998-02-24 1999-02-24 電子ビーム硬化により作製された高ガラス転移温度を有する低誘電率フィルム Withdrawn JP2002504751A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US7568298P 1998-02-24 1998-02-24
US60/075,682 1998-02-24
US09/245,060 1999-02-05
US09/245,060 US6235353B1 (en) 1998-02-24 1999-02-05 Low dielectric constant films with high glass transition temperatures made by electron beam curing
PCT/US1999/003997 WO1999043025A1 (en) 1998-02-24 1999-02-24 Low dielectric constant films with high glass transition temperatures made by electron beam curing

Publications (1)

Publication Number Publication Date
JP2002504751A true JP2002504751A (ja) 2002-02-12

Family

ID=26757151

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000532867A Withdrawn JP2002504751A (ja) 1998-02-24 1999-02-24 電子ビーム硬化により作製された高ガラス転移温度を有する低誘電率フィルム

Country Status (5)

Country Link
US (1) US6235353B1 (ja)
EP (1) EP1060506A1 (ja)
JP (1) JP2002504751A (ja)
AU (1) AU2785299A (ja)
WO (1) WO1999043025A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104704931A (zh) * 2012-10-05 2015-06-10 泰科电子公司 电气部件和制造电气部件的方法和系统
US10154595B2 (en) 2012-10-05 2018-12-11 Te Connectivity Corporation Electrical components and methods and systems of manufacturing electrical components

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
JP4467816B2 (ja) * 2001-02-27 2010-05-26 株式会社日立製作所 低誘電正接樹脂組成物、硬化性フィルム、硬化物およびそれを用いた電気部品とその製法
JP2002299441A (ja) * 2001-03-30 2002-10-11 Jsr Corp デュアルダマシン構造の形成方法
KR100511100B1 (ko) * 2002-07-12 2005-08-31 김미화 퍼플루오로스티렌 화합물, 이를 이용한 코팅액 및광도파로형 광소자
CN1650372A (zh) * 2002-08-15 2005-08-03 霍尼韦尔国际公司 纳米多孔材料及其制备方法
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
JP2006253577A (ja) * 2005-03-14 2006-09-21 Fuji Photo Film Co Ltd 絶縁膜、その製造方法及び該絶縁膜を有するデバイス
CN100357038C (zh) * 2005-08-31 2007-12-26 东南大学 纳米薄膜成形机
US20090084574A1 (en) * 2007-09-28 2009-04-02 Kim Gene Balfour Poly(arylene ether) composition and its use in the fabrication of extruded articles and coated wire
US8525123B2 (en) * 2008-01-14 2013-09-03 International Business Machines Corporation Charging-free electron beam cure of dielectric material
US9758858B2 (en) 2012-10-05 2017-09-12 Tyco Electronics Corporation Methods of manufacturing a coated structure on a substrate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0309025B1 (en) * 1987-09-09 1995-05-17 Asahi Kasei Kogyo Kabushiki Kaisha A cured polyphenylene ether resin and a curable polyphenylene ether resin
US5986045A (en) * 1995-06-26 1999-11-16 Alliedsignal Inc. Poly(arylene ether) compositions and the method for their manufacture
US5959157A (en) 1995-06-26 1999-09-28 Alliedsignal, Inc. Process for making hydroxy-substituted ethynylated biphenyl compounds
US5658994A (en) * 1995-07-13 1997-08-19 Air Products And Chemicals, Inc. Nonfunctionalized poly(arylene ether) dielectrics
US5994425A (en) * 1996-08-29 1999-11-30 Xerox Corporation Curable compositions containing photosensitive high performance aromatic ether polymers
US5939206A (en) * 1996-08-29 1999-08-17 Xerox Corporation Stabilized porous, electrically conductive substrates
US5739254A (en) * 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
US6020119A (en) * 1999-05-17 2000-02-01 Xerox Corporation Process for halomethylation of high performance polymers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104704931A (zh) * 2012-10-05 2015-06-10 泰科电子公司 电气部件和制造电气部件的方法和系统
US10154595B2 (en) 2012-10-05 2018-12-11 Te Connectivity Corporation Electrical components and methods and systems of manufacturing electrical components
CN104704931B (zh) * 2012-10-05 2019-01-08 泰连公司 电气部件和制造电气部件的方法和系统

Also Published As

Publication number Publication date
US6235353B1 (en) 2001-05-22
WO1999043025A1 (en) 1999-08-26
EP1060506A1 (en) 2000-12-20
AU2785299A (en) 1999-09-06

Similar Documents

Publication Publication Date Title
JP3418458B2 (ja) 半導体装置の製造方法
US6548899B2 (en) Method of processing films prior to chemical vapor deposition using electron beam processing
US6358804B2 (en) Semiconductor device and method for the fabrication thereof
US6509259B1 (en) Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6080526A (en) Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US6759098B2 (en) Plasma curing of MSQ-based porous low-k film materials
US20020123240A1 (en) Electronic device manufacture
JP2002504751A (ja) 電子ビーム硬化により作製された高ガラス転移温度を有する低誘電率フィルム
EP1889287A1 (en) Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
JP2003518767A (ja) 電子ビーム放射を利用してスピンオン誘電体被膜を硬化する方法
US6489030B1 (en) Low dielectric constant films used as copper diffusion barrier
US20030040195A1 (en) Method for fabricating low dielectric constant material film
JP2005517784A (ja) オルガノシロキサン
TW442546B (en) Method for producing low dielectric coatings from hydrogen silsequioxane resin
EP0869515B1 (en) Composition and process for forming electrically insulating thin films
US6191183B1 (en) Method for the formation of silica thin films
JP2000340651A (ja) 低誘電率膜の製造法
JPH11217458A (ja) 多孔質膜、その製造法及び物品
JP3485425B2 (ja) 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
JPH08236520A (ja) 半導体装置の絶縁層の形成方法
US20030087534A1 (en) Surface modification for barrier to ionic penetration
TWI235463B (en) Low dielectric constant films with high glass transition temperatures made by electron beam curing
JPH06326202A (ja) 半導体及びその絶縁膜または平坦化膜の形成方法
JP2000021872A (ja) 低誘電率樹脂組成物、低誘電率絶縁膜形成方法および半導体装置の製造方法
JPH10199976A (ja) 多層配線の製造方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060509