JP2001517870A - 反射防止エッチストップ層を含む半導体装置を製造するためのプロセス - Google Patents

反射防止エッチストップ層を含む半導体装置を製造するためのプロセス

Info

Publication number
JP2001517870A
JP2001517870A JP2000513315A JP2000513315A JP2001517870A JP 2001517870 A JP2001517870 A JP 2001517870A JP 2000513315 A JP2000513315 A JP 2000513315A JP 2000513315 A JP2000513315 A JP 2000513315A JP 2001517870 A JP2001517870 A JP 2001517870A
Authority
JP
Japan
Prior art keywords
layer
etch stop
stop layer
silicon
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000513315A
Other languages
English (en)
Other versions
JP4368058B2 (ja
Inventor
ワン,フェイ
フート,デイビッド・ケイ
キャガン,マイロン・アール
グプタ,サブハシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2001517870A publication Critical patent/JP2001517870A/ja
Application granted granted Critical
Publication of JP4368058B2 publication Critical patent/JP4368058B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 金属酸化膜半導体(MOS)トランジスタなどの超小型電子装置を半導体基板上に形成する。装置のためのタングステンダマシン配線は、約40から50重量%の高いシリコン含有量を有する窒化シリコン、酸窒化シリコンまたはシリコンオキシムでできたエッチストップ層を用いて形成される。エッチストップ層は、二酸化シリコン、テトラエチルオルトシリケート(TEOS)ガラスおよびボロホスホシリケートガラス(BPSG)などの上にある絶縁体材料に対して高いエッチング選択比を有する。エッチストップ層はまた、高い屈折率を有し、反射防止性であるため、写真製版の像の投影時に臨界寸法の制御性が向上する。

Description

【発明の詳細な説明】
【0001】
【発明の背景】
[発明の分野] この発明は一般的に、超小型電子集積回路の技術に関し、より特定的には、反
射防止エッチストップ層を含む半導体装置を製造するためのプロセスに関する。
【0002】 [関連技術の説明] 半導体集積回路は、所望の機能をもたらすために互いに接続すべき多数の個別
のトランジスタおよび他の超小型電子装置を含む。当該技術においてさまざまな
配線技術が開発されている。
【0003】 タングステンダマシンとは、集積回路の超小型電子装置上にたとえば二酸化シ
リコンの絶縁体層を形成することを含むプロセスである。フォトレジスト層を絶
縁体層上に形成し、写真製版技術を用いて露光し現像して、所望の配線に対応す
る領域を通る孔を有するマスクを形成する。
【0004】 反応性イオンエッチング(RIE)を用いて絶縁体層をマスクの孔を通してエ
ッチングして、装置の配線領域(ソース、ドレイン、金属配線など)まで対応す
る孔を絶縁体層に形成する。これらの孔を、配線領域にオーム接触するタングス
テンで充填してローカル配線、自己整合コンタクト、縦方向配線(バイア)など
を形成する。
【0005】 絶縁体層のエッチングは従来、オクタフルオロブタン(C48)エッチャント
を用いて行なうが、このオクタフルオロブタン(C48)エッチャントはシリコ
ンに対しても高いエッチング速度を有する。このため、下にある配線領域のシリ
コンにエッチャントが作用することのないようにこのエッチングを行なう機構が
必要となる。
【0006】 このような機構には、絶縁体層の下にたとえば窒化シリコンまたは酸窒化シリ
コンのエッチストップ層を形成してエッチングを2段階において行なうことが含
まれる。第1の段階は絶縁体層のオクタフルオロブタンエッチングであり、これ
はオクタフルオロブタンがエッチストップ層に対して比較的低いエッチング速度
を有するためエッチストップ層のところで終わる。次に、第2のRIEエッチン
グをフルオロメタン(CH3F)を用いて行ない、これによって絶縁体層の孔を 通して露出するエッチストップ層の部分に、装置の配線領域まで孔を形成する。
これが可能となるのは、フルオロメタンがエッチストップ層に対しては高いエッ
チング速度を有するが二酸化シリコンに対してはエッチング速度が低いためであ
る。
【0007】 この構造は、装置の配線領域の導電率を増すためにシリサイド技術を用いるこ
とによってさらに容易にすることができる。シリサイド化とは、抵抗および容量
の低減された電気配線を作ることを可能にする製造技術である。
【0008】 シリサイドプロセスは、オーム接触が取られるポリシリコンゲートまたはシリ
コン配線領域(ソースまたはドレイン拡散領域)上にタングステン、チタン、タ
ンタル、モリブデンなどの高融点金属シリサイド材料の層を形成し、このシリサ
イド材料に下にあるシリコン材料と反応させて、高濃度にドープされたシリコン
またはポリシリコンよりはるかに抵抗の低いシリサイド表面層を形成することを
含む。ポリシリコンゲート上に形成されるシリサイド表面層は「ポリサイド」と
称され、自己整合プロセスを用いてシリコン上に形成されるシリサイド表面層は
「サリサイド」と称される。
【0009】 反応性イオンエッチングおよび従来のエッチストップ層を用いた半導体集積回
路の製造において未解決である問題は選択比が比較的低いことである。この選択
比とは、上にある二酸化シリコン絶縁体層のエッチング速度に対するエッチスト
ップ層のエッチング速度を指していう。従来のエッチストップ材料の選択比は比
較的低く、8:1のオーダであり、このためエッチングプロセスを正確に終了す
ることが困難である。
【0010】 オクタフルオロブタンエッチングを停止するのが早すぎると、二酸化シリコン
絶縁体層を完全に貫通してエッチングすることができない。この点で、一般的に
、絶縁体材料に縦方向孔の壁を確実に形成するためにオーバエッチングを行なう
必要がある。エッチングを停止するのが遅すぎると、エッチストップ層を貫通し
てエッチングが行なわれ、望ましくないエッチングにより下にあるシリコン層の
部分が損なわれることがある。
【0011】
【発明の概要】
この発明は、エッチストップ層と組合せて反応性イオンエッチングを用いてタ
ングステンダマシン配線を形成することにより半導体装置を製造することによっ
て先行技術の欠点を克服するものである。エッチストップ層は、約40から50
重量%の高いシリコン含有量を有する窒化シリコン、酸窒化シリコンまたはシリ
コンオキシム(silicon oxime)で作られる。
【0012】 エッチストップ層は二酸化シリコンなどの上にある絶縁体材料に対して高いエ
ッチング選択比を有する。またエッチストップ層は屈折率が高く、反射防止性の
ものであるため、写真製版の像の投影時に臨界寸法の制御性が向上される。
【0013】 より特定的には、この発明による半導体構造は、半導体基板と、基板の表面に
形成される半導体装置と、基板および装置の表面に形成される、窒化シリコン、
酸窒化シリコンおよびシリコンオキシムからなるグループから選択される材料で
できたエッチストップ層とを含む。エッチストップ層は約40から50重量%の
シリコン含有量を有する。
【0014】 装置は配線領域を有する。構造はさらに、エッチストップ層上に形成される絶
縁体層と、絶縁体層を通ってエッチストップ層まで配線領域に整列して形成され
る第1の孔と、エッチストップ層を通して配線領域まで形成される第2の孔とを
含む。第1および第2の孔は導電材料で充填され、配線領域をオーム接触して配
線を形成する。
【0015】 この発明のこれらおよび他の特徴および利点は、添付の図面とともに以下の詳
細な説明を考慮することから当業者には明らかになるであろう。添付の図面にお
いて類似の参照番号は類似の部分を示す。
【0016】
【詳細な説明】
図1aから図1jはこの発明による半導体装置を製造するためのプロセスを示
す簡略化された断面図である。装置の詳細な構成は特にこの発明の主題ではなく
、この発明を理解するのに必要となる要素のみを説明し示す。
【0017】 図1aに見られるように、半導体構造10はシリコンまたは他の半導体基板1
2を含む。金属酸化膜半導体(MOS)トランジスタ14などの超小型電子装置
は基板12の表面12aに形成され、ソース14a、ドレイン14b、ゲート酸
化層14c、およびゲート酸化層14cの下にあるチャネル14dを含む。ポリ
シリコンゲート14eがゲート酸化層14c上に形成される。サイドウォールス
ペーサ14fがゲート14eの向かい合った端部に形成される。トランジスタ1
4はフィールド酸化膜領域16によって他の装置から物理的かつ電気的に分離さ
れる。
【0018】 トランジスタ14の詳細な構成および動作は特にこの発明の主題ではなく、詳
しく説明しない。さらに、トランジスタ14の個々の要素を示す参照番号はこの
発明の理解に必要でなければ見やすくするために以下の図面から省く。
【0019】 図1aは、この発明によるプロセスの始めのステップを示しており、そのステ
ップは基板12を設けるステップと、基板12の表面12a上にトランジスタ1
4などの半導体装置を形成するステップとを含む。
【0020】 図1bは、導電率を向上するためにシリサイド技術を用いてトランジスタ14
に対しどのように配線を形成するかを示す。このプロセスは、オーム接触が取ら
れるソース14a、ドレイン14bおよびゲート14e上にタングステン、チタ
ン、タンタル、モリブデンなどの高融点金属シリサイド材料の層を形成するステ
ップと、次に、そのシリサイド材料を下にあるシリコン材料と反応させ、シリサ
イドソース配線領域18a、ドレイン配線領域18bおよびゲート配線領域18
cを形成するステップとを含む。
【0021】 図1cは、この発明に従って、どのように窒化シリコン(Si34:H)、酸
窒化シリコン(SiON:H)またはシリコンオキシム(SiNO:H)ででき
たエッチストップ層20がトランジスタ14および基板12の表面12a上に形
成されるかを示す。式中の「H」は層20が残留量の水素を含むことを示してい
る。
【0022】 エッチストップ層20を形成するためのPECVD反応室22は図2に示され
、容器24を含む。電気的に接地されたサセプタ26が容器24内につるされて
いる。半導体構造10が上に形成される1つ以上のダイを含むシリコンウェハ3
0がサセプタ26上に支持される。ウェハ30をサセプタ26上に配置するため
にリフトピン28が設けられる。ウェハ30はランプ32によって約400℃の
温度に加熱される。
【0023】 当該技術分野においてシャワーヘッド34として知られるガス放出ノズルが容
器24内でウェハ30の上方に取付けられる。酸窒化シリコン層20を形成する
のに用いられるガス混合物36が、導入管38を通してシャワーヘッド34に供
給されオリフィス34aを介してウェハ30に向かって下方に放出される。ガス
36は好ましくは、シラン(SiH4)、一酸化二窒素(N2O)および窒素(N 2 )を含む。
【0024】 シャワーヘッド34に高周波(RF)電力を電力リード線40を介して印加す
る。遮断プレート34bをシャワーヘッド34の上端に設け、ガスが上方に逃げ
るのを防ぐ。
【0025】 シャワーヘッド34に印加されるRF電力によりシャワーヘッド34と接地さ
れたサセプタ26との間に交番電界が生じ、これによりその間のガス36にグロ
ーまたはプラズマ放電を形成する。プラズマ放電により上に特記した温度におい
てエッチストップ層20を形成することができる。
【0026】 PECVD堆積パラメータは、エッチストップ層20をシリコンが豊富なもの
になるよう、より特定的には約40から50重量%のシリコン含有量を有するよ
う、この発明に従って選択される。このことは、窒素に対してシランの濃度が高
いガス36を与えることによって達成できる。市販のPECVD室のための堆積
パラメータの具体的な例を以下に示す。
【0027】 次に図1dを参照して、プロセスの次のステップはエッチストップ層20の上
に絶縁体層42′を形成することである。絶縁体層42′は好ましくは二酸化シ
リコンで形成されるが、テトラエチルオルトシリケート(TEOS)ガラス、ホ
スホシリケートガラス(PSG)およびボロホスホシリケートガラス(BPSG
)を含む他の適当な材料で形成されてもよい。絶縁体層42′は図1eに示され
るように、好ましくは化学機械研磨を用いて平坦化される。これは新たに42と
して示される。
【0028】 残りのステップにより、メモリ10のためのタングステンダマシンローカル配
線が形成される。示される例では、それぞれシリサイド配線領域18cおよび1
8bを介してトランジスタ14のゲート14eをドレイン14bに接続するロー
カル配線が形成される。しかしながら、この発明はこれに限定されるものではな
く、どんな適当なタイプの配線を形成するのにも用いることができる。
【0029】 図1fでは、フォトレジスト層44が絶縁体層42上に形成され、写真製版に
よりパターニングされてシリサイド配線領域18bおよび18cにわたる孔44
aが形成される。図1gおよび図1hでは、好ましくは2段階の反応性イオンエ
ッチング(RIE)プロセスを用いて、絶縁体層42およびエッチストップ層2
0を通って配線領域18bおよび18cまで孔がエッチングされる。
【0030】 図1gでは、絶縁体層42に対しては選択的に高いエッチング速度を有するが
エッチストップ層20に対しては低いエッチング速度を有するオクタフルオロブ
タン(C48)または他の適当なエッチャントを用いてRIEエッチングが行な
われる。これにより、配線領域18bおよび18cの対応する部分に整列して、
フォトレジスト層44の孔44aから絶縁体層42を通って下方に延在しエッチ
ストップ層20で止まる縦方向孔42aが形成される。
【0031】 図1hでは、フォトレジスト層44が剥ぎ取られ、エッチストップ層20に対
して選択的に高いエッチング速度を有するが絶縁体層42に対して低いエッチン
グ速度を有するフルオロメタン(CH3F)または他の適当なエッチャントを用 いて第2のRIEエッチングが行なわれる。これにより、エッチストップ層20
に孔20aが形成される。孔20aは絶縁体層42の孔42aの延長であり、配
線領域18bおよび18cのところで終わる。
【0032】 図1iでは、タングステン50が図1hの構造の上に堆積される。タングステ
ン50は、絶縁体層42およびエッチストップ層20を通る孔42aおよび孔2
0aを充填して、配線領域18bおよび18cをオーム接触させる。タングステ
ン50はさらに、50aで示されるように絶縁体層42の頂部に形成される。
【0033】 図1jでは、構造の頂部を好ましくは化学機械研磨を用いて平坦化し、絶縁体
層42からタングステン50aを除去する。この結果、絶縁体層42およびエッ
チストップ層20に嵌め込まれるタングステンでできたローカル配線50′が得
られる。ローカル配線50′は、それぞれシリサイド配線領域18cおよび18
bを介してトランジスタ14のゲート14eおよびドレイン14bを接続する。
【0034】 この発明に従って形成されるエッチストップ層20は40から50重量%のオ
ーダの高いシリコン含有量を有し、その最適値はこの範囲のほぼ中間である。こ
の発明の発明者らは、このレベルのシリコン含有量により先行技術において用い
られる従来のエッチストップ層材料と比べ、この発明のエッチストップ層の選択
比がかなり向上することを発見した。典型的な先行技術の値である8:1と比較
して、この発明によれば30:1を超える選択比が達成された。
【0035】 さらに、発明者らは、この発明のエッチストップ層が1.2から2.7の範囲
内でその最適値がこの範囲のほぼ中間である高い屈折率を有することを発見した
。これにより、先行技術の材料と比べてこの発明のエッチストップ層の不透明度
が増し、この発明のエッチストップ層20に反射防止特性が与えられる。
【0036】 より具体的には、超小型電子装置の地形からの内部反射で写真製版の像の投影
ステップ時における投映光に対して垂直ではないものは臨界寸法の制御性(写真
製版により形成される形状の寸法上の許容度)を劣化させ得る。従来のエッチス
トップ層はそれ自体がこのような反射を生じ、上に説明した配線エッチングステ
ップにおいてはその意図される機能を果たすが、解像度および臨界寸法の制御性
に対しては有害である。
【0037】 この発明のエッチストップ層は先行技術のエッチストップ層材料に対して向上
したエッチング選択比を有するだけでなく反射防止性でもある。すなわち、この
発明は先行技術に対して二重の改善をもたらす。
【0038】 図2に示されるようなPECVDリアクタにおいて、シリコンオキシムエッチ
ストップ層を形成するためのプロセス条件の好ましい例を以下に示す。例Iでは
、リアクタは、カリフォルニア州サンタ・クララのアプライド・マテリアルズ・
コーポレイション(Applied Materials Corporation)から市販されているAM T5000型である。例IIでは、リアクタはカリフォルニア州サン・ノゼのノ
ベラス・システムズ・インコーポレイテッド(Novellus Systems, Inc.)から市
販されるNovellus Concept I System型である。
【0039】 これらの条件は例示のためだけであり、異なった型またはタイプのリアクタに
おいてはこれらの層を形成するための条件がかなり異なったものとなり得ること
が理解されるであろう。酸窒化シリコンおよび窒化シリコンのエッチストップ層
を形成するためのプロセス条件もまたかなり異なったものとなり得る。
【0040】 例I(アプライド・マテリアルズ AMT5000) エッチストップ層20は以下の条件の下で形成され、以下の条件はすべて、列
挙される値から約±10%変化し得る。
【0041】 シラン(SiH4)流量:115sccm 窒素(N2)流量:550sccm 一酸化二窒素(N2O)流量:41sccm 圧力:3.5Torr RF電力:325ワット 温度:400℃ 処理時間:10秒(800オングストローム厚さに対して) シャワーヘッド34とウェハ30の表面との間の間隔(図2のS):360
ミル(9.14ミリメートル) 層厚:800オングストローム 例II(Novellus Concept I System) エッチストップ層20は以下の条件の下で形成され、この条件はすべて、約±
10%変化し得る。
【0042】 シラン(SiH4)流量:287sccm 窒素(N2)流量:4000sccm 一酸化二窒素(N2O)流量:160sccm 圧力:3.0Torr RF電力:250ワット(HF)、210ワット(LF) 温度:400℃ 処理時間:5.5秒 ソークタイム(温度ランプアップ時間):30秒 シャワーヘッド34とウェハ30の表面との間の間隔(図2におけるS):
550ミル(13.97ミリメートル) 層厚:800オングストローム 図1aから図1jにはローカル配線の形成が示されるが、この発明はこれに限
定されるものではない。この発明によるエッチストップ層は以下に説明するよう
な異なったタイプを形成するのに用いてもよい。
【0043】 図3aから図3eには、この発明に従ってどのように自己整合コンタクト(S
AC)が形成されるかが示される。この例では、上方からの外部接続のため、2
つの横方向に間隔のあけられたトランジスタ14の間の共通ドレイン14bの上
にあるシリサイド配線領域18bにオーム接触するSACが形成される。
【0044】 図3aでは、第1のエッチストップ層52がトランジスタ14のゲート14e
上のシリサイド配線領域18c上に選択的に形成される。次に、第2のエッチス
トップ層54が第1のエッチストップ層52およびトランジスタ14の露出され
た部分上に形成される。第1のエッチストップ層52の目的は、トランジスタ1
4のゲート14e上の全エッチストップ層厚を共通ドレイン14b上のそれより
厚くすることである。
【0045】 図3bに示されるように、絶縁体層56およびフォトレジスト層58を構造上
に形成する。フォトレジスト層58に写真製版で像を投影して現像し、孔58a
を形成し、下にある絶縁体層56をオクタフルオロブタンを用いてエッチストッ
プ層54までエッチングして図1aから図1jに関連して上に説明した態様で孔
56aを形成する。孔56aおよび孔58aは共通ドレイン14bおよびトラン
ジスタ14のゲート14eの隣接する部分の上にある。
【0046】 図3cのステップにおいて、エッチストップ層54をフルオロメタンを用いて
エッチングして、ドレイン14b上のシリサイド配線領域18bまで延在する孔
54aを形成する。ゲート14e上に形成される層54の部分は部分的にエッチ
ングで除去されるが、エッチストップ層52およびエッチストップ層54の合せ
た厚さがこれらの領域では厚いためゲート14eは保護される。
【0047】 エッチングは、ドレイン14bの上にある層54の部分がエッチングにより除
去されて下にある配線領域18bを露出するがゲート14eを露出するには不十
分なエッチストップ材料しかゲート14e上の領域から除去されないような時間
にわたって行なわれる。このように、孔54aは何らパターニングステップを必
要とすることなく、自己整合された態様で形成される。
【0048】 図3dでは、タングステン60が構造上に形成され孔54a、孔56aおよび
孔58aを充填し、シリサイド配線領域18bにオーム接触する。図3eにおい
て、構造を平坦化し、上部タングステン領域60aを除去してドレイン18bの
外部接続のための自己整合コンタクト60′を作る。
【0049】 この発明はまた、埋込金属配線に対する外部接続のための縦方向配線(バイア
)を形成するのに適用することができる。図4は、拡大された配線領域62aを
備えるよう形成される従来の金属配線(アルミニウムなど)62を示す。配線6
2への接続は上にある絶縁体層を通って下方向に延在するバイアによってなされ
る。バイアはRIEを用いて孔をエッチングし、上述のようにタングステンまた
は他の適当な金属で孔を充填することによって形成される。
【0050】 拡大された領域62aは相互接続バイアを形成する上でミスアライメントに対
応するため設けられる。バイアホール64が64′によって破線で示されるその
意図される中心位置からミスアライメントによってずれた場合が図4に示される
【0051】 図5は、バイアミスアライメントに対応するように拡大された領域を設けて形
成されていない「ボーダーレス」金属配線66を示す。示されるように、バイア
ホール68が意図される位置68′からずれて配線66に対して整合されずに形
成されている。金属で孔68を充填することによって形成されるバイア、バイア
が配線66とオーム接触するため機能するが、これはその断面領域の部分におい
てのみである。
【0052】 図6aおよび図6bは、図5に示されるボーダーレス配線66とのバイアミス
アライメントにより半導体構造がどのように損なわれるかを示す。図面では、配
線66は半導体基板70上に形成され、絶縁体層72が基板70の表面および配
線66上に形成される。フォトレジスト層74は絶縁体層72上に形成され、バ
イアのための孔74aがパターニングされる。孔74aは図5に示される態様で
配線66とは整合されていない。
【0053】 図6bでは、絶縁体層72がオクタフルオロブタンを用いて配線66までエッ
チングされ、バイアホール68が形成される。しかしながら、孔68と配線66
とのミスアライメントのため、孔68の下にあり配線66に横方向に隣接する絶
縁体層72の部分、および70aで示される下にある基板70の部分もまたエッ
チングにより除去される。こうした基板70の望ましくないエッチングは、さま
ざまな問題を引起こすことになる損傷となる。
【0054】 図7aから図7cは、この問題がこの発明による高選択比のエッチストップ層
を用いてどのように克服されるかを示す。図7aに示すように、エッチストップ
層76を基板70と絶縁体層72との間に形成する。図7bでは、図6bに関連
して上に説明した態様でバイアホール68′がエッチングされる。しかしながら
、エッチストップ層76によってエッチャントが基板70に到達するのを防ぐた
め基板70は損傷を受けない。
【0055】 図7cは、上述のようにタングステン堆積および平坦化によって孔68′内に
形成されるバイア78を示す。このように、この発明によるエッチストップ層に
より、ボーダーレス金属配線を用いる構成においてバイアミスアライメントを許
容することができる。
【0056】 すなわち、この発明は、二酸化シリコンなどの上にある絶縁体材料に対して高
いエッチング選択比を有するエッチストップ層を設けることによって先行技術の
欠点を克服する。このエッチストップ層はまた、高い屈折率を有し、反射防止性
であるため、写真製版の像の投影時の臨界寸法の制御性を向上する。
【0057】 当業者には、この開示の教示を受けた後、この発明の範囲から逸脱することな
くさまざまな変更を行なうことが可能となるであろう。
【図面の簡単な説明】
【図1a】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図1b】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図1c】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図1d】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図1e】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図1f】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図1g】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図1h】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図1i】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図1j】 この発明によるローカル配線を含む半導体装置を製造するため
のプロセスのステップを示す簡略化された断面図である。
【図2】 この発明を実施するためのプラズマエンハンスト化学気相成長(
PECVD)装置を示す概略図である。
【図3a】 図1aから図1jに類似した、自己整合コンタクトを含む装置
の製造を示す図である。
【図3b】 図1aから図1jに類似した、自己整合コンタクトを含む装置
の製造を示す図である。
【図3c】 図1aから図1jに類似した、自己整合コンタクトを含む装置
の製造を示す図である。
【図3d】 図1aから図1jに類似した、自己整合コンタクトを含む装置
の製造を示す図である。
【図3e】 図1aから図1jに類似した、自己整合コンタクトを含む装置
の製造を示す図である。
【図4】 従来の縦方向配線構成を示す図である。
【図5】 図4に類似しているが、ボーダーレスの縦方向配線構成を示す図
である。
【図6a】 エッチストップ層を用いない場合の配線ミスアライメントの有
害な影響を示す図である。
【図6b】 エッチストップ層を用いない場合の配線ミスアライメントの有
害な影響を示す図である。
【図7a】 この発明によるエッチストップ層を用いたボーダーレス縦方向
配線の形成を示す図である。
【図7b】 この発明によるエッチストップ層を用いたボーダーレス縦方向
配線の形成を示す図である。
【図7c】 この発明によるエッチストップ層を用いたボーダーレス縦方向
配線の形成を示す図である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成12年3月23日(2000.3.23)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0002
【補正方法】変更
【補正内容】
【0002】 [関連技術の説明] US−A−5 710 067ではプラズマエンハンスト化学気相成長法によ
り形成される、SiNO:Hの式により定義付けられるシリコンオキシム膜が開
示される。シリコンオキシム膜は写真製版時の反射防止層、エッチストップ層お
よび保護層として用いることができる。 EP−A−0 425 787では、コンタクトウィンドウに対して回路密度
の高い自己整合された金属配線を製造する方法において約50nmの厚さの窒化
シリコン層をエッチストップ層として使用することが開示される。 Ueno K他による、「プラズマエンハンスト化学気相成長法により形成される酸
窒化シリコン膜の反応性イオンエッチング」(“Reactive ion etching of sili
con oxynitride formed by plasma-enhanced chemical vapor deposition”)(
Journal of Vacuum Science & Technology B, Vol.13, No.4, 1995年7月/8月, p
p.1447-1450.)では、異なるエッチャントを用いてSiOxy膜のRIE速度お
よびエッチング選択比を比較した実験が開示される。最も高いエッチング選択比
はCHF3+COのRIEにより得られ、これはRIE時に堆積されるカーボン が豊富に含まれたC化合物によるものであると考えられる。 半導体集積回路は、所望の機能をもたらすために互いに接続すべき多数の個別
のトランジスタおよび他の超小型電子装置を含む。当該技術においてさまざまな
配線技術が開発されている。
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】0011
【補正方法】変更
【補正内容】
【0011】
【発明の概要】 この発明は、エッチストップ層と組合せて反応性イオンエッチングを用いてタ
ングステンダマシン配線を形成することにより半導体装置を製造することによっ
て先行技術の欠点に向かうものである。エッチストップ層は、約40から50重
量%の高いシリコン含有量および800オングストローム±10%の厚さを有す
るSiNO:Hの式により定義付けられるシリコンオキシム(silicon oxime) で作られる。
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】0013
【補正方法】変更
【補正内容】
【0013】 より特定的には、この発明による半導体構造は、半導体基板と、基板の表面に
形成される半導体装置と、基板および装置の表面に形成されるシリコンオキシム
からなる材料でできたエッチストップ層とを含む。エッチストップ層は約40か
ら50重量%のシリコン含有量および800ű10%の厚さを有する。
【手続補正5】
【補正対象書類名】明細書
【補正対象項目名】0014
【補正方法】変更
【補正内容】
【0014】 装置は配線領域を有する。構造はさらに、エッチストップ層上に形成される絶
縁体層と、絶縁体層を通ってエッチストップ層まで配線領域に整列して形成され
る第1の孔と、第1の孔の下のエッチストップ層を通して配線領域まで形成され
る第2の孔とを含む。第1および第2の孔は導電材料で充填され、配線領域をオ
ーム接触して配線を形成する。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/78 21/336 (72)発明者 ワン,フェイ アメリカ合衆国、95129 カリフォルニア 州、サン・ノゼ、ウェルフリート・ウェ イ、6005 (72)発明者 フート,デイビッド・ケイ アメリカ合衆国、95123 カリフォルニア 州、サン・ノゼ、プロント・ドライブ、 661 (72)発明者 キャガン,マイロン・アール アメリカ合衆国、95070 カリフォルニア 州、サラトガ、ビア・ロンコレ、12234 (72)発明者 グプタ,サブハシュ アメリカ合衆国、95131 カリフォルニア 州、サン・ノゼ、メドウ・リッジ・サーク ル、1578 Fターム(参考) 4M104 AA01 BB01 BB25 BB26 BB27 BB28 CC01 DD02 DD04 DD08 DD16 DD17 DD18 DD19 FF14 GG08 HH20 5F033 HH08 JJ19 KK01 KK04 KK27 KK28 KK29 KK30 MM07 NN12 NN40 QQ04 QQ09 QQ13 QQ15 QQ25 QQ37 QQ48 RR04 RR06 RR08 RR14 RR15 RR20 SS02 SS15 TT08 VV06 WW01 WW02 WW03 WW04 WW05 WW06 WW07 XX00 XX01 XX15 XX31 5F040 DA14 DC01 EC07 EC13 EH02 EH07 FC00 FC22 5F058 BD10 BD15 BF07 BF23 BF30 BF37 BF39 BG01 BJ02 BJ10 5F083 JA32 JA35 JA36 JA39 JA53 JA56 MA01 MA03 MA06 MA16 MA19 PR03 PR06 PR22 PR29

Claims (31)

    【特許請求の範囲】
  1. 【請求項1】 配線を有する半導体構造を製造するためのプロセスであって
    、 (a) 半導体基板を設けるステップと、 (b) 前記基板の表面上に配線領域を有する半導体装置を形成するステップ
    と、 (c) 前記基板の前記表面および前記装置の上に窒化シリコン、酸窒化シリ
    コンおよびシリコンオキシムからなるグループから選択される材料でできたエッ
    チストップ層を形成するステップとを含み、前記エッチストップ層は約40から
    50重量%のシリコン含有量を有しており、前記プロセスはさらに (d) 前記エッチストップ層上に絶縁体層を形成するステップと、 (e) 前記配線領域に整列して、第1の孔を前記絶縁体層を通って前記エッ
    チストップ層までエッチングするステップと、 (f) 第2の孔を前記エッチストップ層を通って前記配線領域までエッチン
    グするステップと、 (g) 前記配線領域にオーム接触する導電材料で前記第1および第2の孔を
    充填して前記配線を形成するステップとを含む、プロセス。
  2. 【請求項2】 ステップ(e)はオクタフルオロブタンで反応性イオンエッ
    チング(RIE)を用いて前記第1の孔をエッチングするステップを含む、請求
    項1に記載のプロセス。
  3. 【請求項3】 ステップ(f)はフルオロメタンで反応性イオンエッチング
    (RIE)を用いて前記第2の孔をエッチングするステップを含む、請求項1に
    記載のプロセス。
  4. 【請求項4】 ステップ(a)はシリコンでできた前記基板を設けるステッ
    プを含み、 ステップ(b)は (b1) 前記配線領域上に高融点金属シリサイド材料でできた層を形成する
    サブステップと、 (b2) 前記シリサイド材料を下にあるシリコンと反応させてシリサイドと
    して前記配線領域を形成するサブステップとを含む、請求項1に記載のプロセス
  5. 【請求項5】 ステップ(g)は、タングステンで前記第1および第2の孔
    を充填してタングステンダマシンとして前記配線を形成するステップを含む、請
    求項1に記載のプロセス。
  6. 【請求項6】 ステップ(d)とステップ(e)との間に行なわれる、 (h) 化学機械研磨を用いて前記絶縁体層を平坦化するステップをさらに含
    む、請求項1に記載のプロセス。
  7. 【請求項7】 ステップ(d)は、二酸化シリコン、テトラエチルオルトシ
    リケート(TEOS)ガラス、ホスホシリケートガラス(PSG)およびボロホ
    スホシリケートガラス(BPSG)からなるグループから選択される材料ででき
    た前記絶縁体層を形成するステップを含む、請求項1に記載のプロセス。
  8. 【請求項8】 ステップ(c)は、約400℃±10%の温度で前記エッチ
    ストップ層を形成するステップを含む、請求項1に記載のプロセス。
  9. 【請求項9】 ステップ(c)は、 約115sccm±10%のSiH4流量と、 約345ワット±10%のRF電力とにより、 プラズマエンハンスト化学気相成長法(PECVD)を用いてシリコンオキシ
    ムでできた前記エッチストップ層を形成するステップを含む、請求項8に記載の
    プロセス。
  10. 【請求項10】 ステップ(c)はさらに、約41sccm±10%のN2 O流量と、約550sccm±10%のN2流量とにより前記エッチストップ層 を形成するステップを含む、請求項9に記載のプロセス。
  11. 【請求項11】 ステップ(c)はさらに、約3.5Torr±10%の圧
    力で前記エッチストップ層を形成するステップを含む、請求項9に記載のプロセ
    ス。
  12. 【請求項12】 ステップ(c)はさらに、PECVDシャワーヘッドと前
    記基板の前記表面との間隔が約9.14±10%ミリメートルである状態で前記
    エッチストップ層を形成するステップを含む、請求項9に記載のプロセス。
  13. 【請求項13】 ステップ(c)は、約800ű10%の厚さに前記エッ
    チストップ層を形成するステップを含む、請求項1に記載のプロセス。
  14. 【請求項14】 半導体構造を製造するためのプロセスであって、 (a) 半導体基板を設けるステップと、 (b) 前記基板の表面上に半導体装置を形成するステップと、 (c) 前記基板の前記表面および前記装置の上に窒化シリコン、酸窒化シリ
    コンおよびシリコンオキシムからなるグループから選択される材料でできた層を
    形成するステップとを含み、前記層は約40から50重量%のシリコン含有量を
    有する、プロセス。
  15. 【請求項15】 ステップ(c)は、約400℃±10%の温度で前記層を
    形成するステップを含む、請求項1に記載のプロセス。
  16. 【請求項16】 ステップ(c)は、 約115sccm±10%のSiH4流量と、 約345ワット±10%のRF電力とにより、 プラズマエンハンスト化学気相成長法(PECVD)を用いてシリコンオキシ
    ムでできた前記層を形成するステップを含む、請求項15に記載のプロセス。
  17. 【請求項17】 ステップ(c)はさらに、約41sccm±10%のN2 O流量と、約550sccm±10%のN2流量とにより前記層を形成するステ ップを含む、請求項16に記載のプロセス。
  18. 【請求項18】 ステップ(c)はさらに、約3.5Torr±10%の圧
    力で前記層を形成するステップを含む、請求項16に記載のプロセス。
  19. 【請求項19】 ステップ(c)はさらに、PECVDシャワーヘッドと前
    記基板の前記表面との間隔が約9.14±10%ミリメートルである状態で前記
    層を形成するステップを含む、請求項16に記載のプロセス。
  20. 【請求項20】 ステップ(c)は、約800ű10%の厚さに前記層を
    形成するステップを含む、請求項14に記載のプロセス。
  21. 【請求項21】 半導体構造であって、 半導体基板と、 前記基板の表面上に形成される半導体装置と、 前記基板の前記表面および前記装置上に窒化シリコン、酸窒化シリコンおよび
    シリコンオキシムからなるグループから選択される材料でできた層とを含み、前
    記層は約40から50重量%のシリコン含有量を有する、構造。
  22. 【請求項22】 前記装置は配線領域を含み、 前記層はエッチストップ層であり、 前記構造はさらに 前記エッチストップ層上に形成される絶縁体層と、 前記配線領域と整列して、前記絶縁体層を通って前記エッチストップ層まで形
    成される第1の孔と、 前記エッチストップ層を通って前記配線領域まで形成される第2の孔と、 前記第1および第2の孔を充填し前記配線領域にオーム接触させて配線を形成
    する導電材料とを含む、請求項21に記載の構造。
  23. 【請求項23】 前記層は約400℃±10%の温度において形成される、
    請求項21に記載の構造。
  24. 【請求項24】 前記層は、 約115sccm±10%のSiH4流量と、 約325ワット±10%のRF電力とにより、 プラズマエンハンスト化学気相成長法(PECVD)を用いてシリコンオキシ
    ムで形成される、請求項23に記載の構造。
  25. 【請求項25】 前記層は、約41sccm±10%のN2O流量と約55 0sccm±10%のN2流量とにより形成される、請求項24に記載の構造。
  26. 【請求項26】 前記層は約3.5Torr±10%の圧力で形成される、
    請求項24に記載の構造。
  27. 【請求項27】 前記層は、PECVDシャワーヘッドと前記基板の前記表
    面との間の間隔が約9.14ミリメートル±10%である状態で形成される、請
    求項24に記載の構造。
  28. 【請求項28】 前記層は約800ű10%の厚さを有する、請求項21
    に記載の構造。
  29. 【請求項29】 前記配線はローカル配線である、請求項21に記載の構造
  30. 【請求項30】 前記配線は自己整合コンタクトである、請求項21に記載
    の構造。
  31. 【請求項31】 前記配線はボーダーレスバイアである、請求項21に記載
    の構造。
JP2000513315A 1997-09-25 1998-08-28 反射防止エッチストップ層を含む半導体装置を製造するためのプロセス Expired - Lifetime JP4368058B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/937,774 1997-09-25
US08/937,774 US6040619A (en) 1995-06-07 1997-09-25 Semiconductor device including antireflective etch stop layer
PCT/US1998/017884 WO1999016118A1 (en) 1997-09-25 1998-08-28 Process for fabricating semiconductor device including antireflective etch stop layer

Publications (2)

Publication Number Publication Date
JP2001517870A true JP2001517870A (ja) 2001-10-09
JP4368058B2 JP4368058B2 (ja) 2009-11-18

Family

ID=25470382

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000513315A Expired - Lifetime JP4368058B2 (ja) 1997-09-25 1998-08-28 反射防止エッチストップ層を含む半導体装置を製造するためのプロセス

Country Status (6)

Country Link
US (2) US6040619A (ja)
EP (1) EP1034564B1 (ja)
JP (1) JP4368058B2 (ja)
KR (1) KR100527206B1 (ja)
DE (1) DE69833262T2 (ja)
WO (1) WO1999016118A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8395932B2 (en) 2002-01-10 2013-03-12 Renesas Electronics Corporation Semiconductor storage device and method of fabricating the same

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
JP3241020B2 (ja) 1999-03-26 2001-12-25 日本電気株式会社 半導体装置の製造方法
US6177304B1 (en) * 1999-04-26 2001-01-23 Chartered Semiconductor Manufacturing Ltd. Self-aligned contact process using a poly-cap mask
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6506683B1 (en) * 1999-10-06 2003-01-14 Advanced Micro Devices In-situ process for fabricating a semiconductor device with integral removal of antireflection and etch stop layers
US6136649A (en) * 1999-10-12 2000-10-24 Advanced Micro Devices, Inc. Method for removing anti-reflective coating layer using plasma etch process after contact CMP
US6277755B1 (en) * 1999-12-20 2001-08-21 United Microelectronics Corp. Method for fabricating an interconnect
KR20010057685A (ko) * 1999-12-23 2001-07-05 황인길 반도체 소자의 금속전 절연막 형성 방법
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6537902B1 (en) * 2000-01-24 2003-03-25 Oki Electric Industry Co, Ltd. Method of forming a via hole in a semiconductor device
US6596623B1 (en) * 2000-03-17 2003-07-22 Advanced Micro Devices, Inc. Use of organic spin on materials as a stop-layer for local interconnect, contact and via layers
EP1197998A3 (en) * 2000-10-10 2005-12-21 Shipley Company LLC Antireflective porogens
US6459155B1 (en) 2000-12-05 2002-10-01 Advanced Micro Devices, Inc. Damascene processing employing low Si-SiON etch stop layer/arc
US6633392B1 (en) 2002-01-17 2003-10-14 Advanced Micro Devices, Inc. X-ray reflectance system to determine suitability of SiON ARC layer
KR100472035B1 (ko) * 2002-12-18 2005-03-10 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
US7329953B2 (en) * 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
US20060079046A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US7101744B1 (en) 2005-03-01 2006-09-05 International Business Machines Corporation Method for forming self-aligned, dual silicon nitride liner for CMOS devices
US7288451B2 (en) * 2005-03-01 2007-10-30 International Business Machines Corporation Method and structure for forming self-aligned, dual stress liner for CMOS devices
US7422985B2 (en) 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US7521353B2 (en) * 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US7244644B2 (en) * 2005-07-21 2007-07-17 International Business Machines Corporation Undercut and residual spacer prevention for dual stressed layers
US7605435B2 (en) * 2006-07-10 2009-10-20 Great Wall Semiconductor Corporation Bi-directional MOSFET power switch with single metal layer
US20090309163A1 (en) * 2008-06-11 2009-12-17 International Business Machines Corporation Method and structure for enhancing both nmosfet and pmosfet performance with a stressed film and discontinuity extending to underlying layer
US8299625B2 (en) 2010-10-07 2012-10-30 International Business Machines Corporation Borderless interconnect line structure self-aligned to upper and lower level contact vias
US20140273342A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Vth control method of multiple active layer metal oxide semiconductor tft
US9362191B2 (en) * 2013-08-29 2016-06-07 Infineon Technologies Austria Ag Encapsulated semiconductor device

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4767724A (en) * 1986-03-27 1988-08-30 General Electric Company Unframed via interconnection with dielectric etch stop
EP0326293A1 (en) * 1988-01-27 1989-08-02 Advanced Micro Devices, Inc. Method for forming interconnects
EP0337109A1 (en) * 1988-04-14 1989-10-18 International Business Machines Corporation Method for making contacts
EP0425787A3 (en) * 1989-10-31 1993-04-14 International Business Machines Corporation Method for fabricating high circuit density, self-aligned metal lines to contact windows
JP3000717B2 (ja) * 1991-04-26 2000-01-17 ソニー株式会社 ドライエッチング方法
FR2711275B1 (fr) * 1993-10-15 1996-10-31 Intel Corp Procédé automatiquement aligné de contact en fabrication de semi-conducteurs et dispositifs produits.
KR100366910B1 (ko) * 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
KR960042942A (ko) * 1995-05-04 1996-12-21 빈센트 비.인그라시아 반도체 디바이스 형성 방법
US5622596A (en) * 1995-05-08 1997-04-22 International Business Machines Corporation High density selective SiO2 :Si3 N4 etching using a stoichiometrically altered nitride etch stop
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5989957A (en) * 1997-05-21 1999-11-23 Advanced Micro Devices Process for fabricating semiconductor memory device with high data retention including silicon oxynitride etch stop layer formed at high temperature with low hydrogen ion concentration
US6051870A (en) * 1997-12-17 2000-04-18 Advanced Micro Devices Process for fabricating semiconductor device including improved phosphorous-doped silicon dioxide dielectric film

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8395932B2 (en) 2002-01-10 2013-03-12 Renesas Electronics Corporation Semiconductor storage device and method of fabricating the same
US8422274B2 (en) 2002-01-10 2013-04-16 Renesas Electronics Corporation Semiconductor storage device and method of fabricating the same
US8908419B2 (en) 2002-01-10 2014-12-09 Renesas Electronics Corporation Semiconductor storage device and method of fabricating the same

Also Published As

Publication number Publication date
US6313018B1 (en) 2001-11-06
KR100527206B1 (ko) 2005-11-09
EP1034564B1 (en) 2006-01-18
JP4368058B2 (ja) 2009-11-18
US6040619A (en) 2000-03-21
DE69833262D1 (de) 2006-04-06
WO1999016118A1 (en) 1999-04-01
KR20010024293A (ko) 2001-03-26
EP1034564A1 (en) 2000-09-13
DE69833262T2 (de) 2006-09-21

Similar Documents

Publication Publication Date Title
JP4368058B2 (ja) 反射防止エッチストップ層を含む半導体装置を製造するためのプロセス
US6228760B1 (en) Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6316348B1 (en) High selectivity Si-rich SiON etch-stop layer
KR100878170B1 (ko) 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착
US6399512B1 (en) Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6337282B2 (en) Method for forming a dielectric layer
US6407002B1 (en) Partial resist free approach in contact etch to improve W-filling
US6083824A (en) Borderless contact
US5652182A (en) Disposable posts for self-aligned non-enclosed contacts
US5827778A (en) Method of manufacturing a semiconductor device using a silicon fluoride oxide film
US20030068582A1 (en) Method of manufacturing semiconductor device having silicon carbide film
US6670265B2 (en) Low K dielectic etch in high density plasma etcher
US5502006A (en) Method for forming electrical contacts in a semiconductor device
US6383950B1 (en) Insulating and capping structure with preservation of the low dielectric constant of the insulating layer
US6399483B1 (en) Method for improving faceting effect in dual damascene process
US7351653B2 (en) Method for damascene process
US6787474B2 (en) Manufacture method for semiconductor device having silicon-containing insulating film
US20020033486A1 (en) Method for forming an interconnection line using a hydrosilsesquioxane (HSQ) layer as an interlayer insulating layer
JP2001118928A (ja) 集積回路の製造方法
US7163881B1 (en) Method for forming CMOS structure with void-free dielectric film
CN1243378C (zh) 金属内连线的制造方法
JP2005327873A (ja) 半導体装置及びその製造方法
KR100483202B1 (ko) 반도체 소자의 제조 방법
KR100389041B1 (ko) 에이치에스큐막을 층간절연막으로 사용하는 배선 형성 방법
JPH07176502A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050801

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090303

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090602

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090703

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090804

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090825

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120904

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130904

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term