JP2001297991A - 処理チャンバ用加熱器 - Google Patents

処理チャンバ用加熱器

Info

Publication number
JP2001297991A
JP2001297991A JP2001046653A JP2001046653A JP2001297991A JP 2001297991 A JP2001297991 A JP 2001297991A JP 2001046653 A JP2001046653 A JP 2001046653A JP 2001046653 A JP2001046653 A JP 2001046653A JP 2001297991 A JP2001297991 A JP 2001297991A
Authority
JP
Japan
Prior art keywords
gas
substrate
edge ring
deflecting
purge gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001046653A
Other languages
English (en)
Other versions
JP4772974B2 (ja
Inventor
Semyon Sherstinsky
シャースティンスキー セミョン
Alison Gilliam
ギリアム アリソン
Paul F Smith
エフ. スミス ポール
Leonel A Zuniga
エー. ズニガ レオネル
Ted Yoshidome
ヨシドメ テッド
Nitin Khurana
クフラナ ニティン
Roderick Craig Mosely
クレーグ モーズレー ロデリック
Umesh Kelkar
ケルカール ウーメシュ
Joseph Yudovsky
ユドヴスキー ジョセフ
Alan Popiolkowski
ポピオルコヴスキー アラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001297991A publication Critical patent/JP2001297991A/ja
Application granted granted Critical
Publication of JP4772974B2 publication Critical patent/JP4772974B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

(57)【要約】 【課題】 基板の半径方向に対し角度を成して基板の縁
部へガスの流れを向けるガス送出装置および方法を提供
する。 【解決手段】 装置は、ガス送出装置の中心を端緒とす
る半径線に対して角度を成す複数の溝の上に、ガス開口
部からガスを向ける。続いて、ガスは基板36の一部分
の上に流され、反応性ガスが基板の選択部分上へ堆積す
ることを阻止する。

Description

【発明の詳細な説明】
【0001】
【発明の分野】本発明は、半導体基板処理装置の分野に
関する。より詳細には、本発明は処理中に基板を支持す
る装置および方法に関する。
【0002】
【関連技術の背景】集積回路の製造において、真空環境
から基板を取去ることなく処理ステップの幾つかのシー
ケンスを遂行することによって基板処理を自動化するた
めに装置が開発されできており、それにより、基板の搬
送時間と汚染を低減している。そのような装置は、例え
ば、Maydan他の米国特許第4,951,601号に開示
されており、そこでは複数の処理チャンバがひとつの搬
送チャンバへ接続される。集中搬送チャンバ内のロボッ
トが、接続された種々の処理チャンバへスリット弁を介
して基板を送り込み、処理が完了した後にそれらの基板
をチャンバから取出す。
【0003】真空チャンバで行われる処理ステップは、
普通には、基板の表面上への金属、誘電体、および半導
体の多数層の堆積またはエッチングを必要とする。その
ようなプロセスの例には、化学気相成長(CVD)、物
理気相成長(PVD)およびエッチングプロセスがあ
る。
【0004】真空チャンバではCVDが用いられ半導体
基板上に薄膜を堆積する。普通には、前駆ガスが、基板
上に位置するガスマニホールドプレートを介して真空チ
ャンバ内へ充填される。熱プロセスにおいて、基板は、
普通には所望プロセス温度まで加熱される。チャンバ内
へ充填された前駆ガスは、加熱された基板表面上で反応
し、その上に薄層を堆積する。
【0005】CVDで遭遇する難向は、基板の縁部およ
び裏側上および支持部材上への材料の堆積を含む。基板
の縁部および裏側上への堆積は、例えば、化学機械研磨
(CMP)等の後続プロセスに関し、基板を不適合にさ
せる可能性がある。基板縁部に堆積した材料はCMP後
に縁部に残留することがあり、潜在的に、粒子ソースを
創出したり、あるいは、プロセスで形成された素子の完
全性を危うくする可能性がある。加えて、基板の縁部お
よび裏側の材料は剥離して、同様に粒子ソースとなる可
能性がある。更に、基板および支持部材上に堆積した材
料は、基板を支持部材に貼り付けて、基板上に形成され
た素子の完全性を傷つける可能性がある。
【0006】基板および支持部材上への望ましくない堆
積の問題を緩和するために、シャドーリング (shadow r
ing) およびパージガスが使われるようになってきた。
シャドーリングは、堆積中に基板の外周を覆って基板の
縁部を隠すことにより、堆積ガスが基板の縁部および裏
側に接触することを阻止する。しかし、例えばWF6
の幾つかの前駆ガスの揮発性に起因して、シャドーリン
グだけでは基板上の縁部および裏側の堆積を阻止しな
い。
【0007】基板の背後又は基板縁部へ向けられるパー
ジガスを用いて、縁部および裏側の堆積を阻止してい
た。パージガスは基板の周辺部近傍に正圧を及ぼし、そ
の正圧は、処理ガスが基板の縁部および裏側、並びに基
板を保持する支持部材へ到達するであろう可能性を低減
する。パージガスを使用する装置では、支持部材に形成
されて離間する複数のパージガスオリフィスが、基板の
縁部へパージガスを送出する。しかし、従来のパージガ
ス装置は、被覆を目的とされる基板の表面上へ材料の不
均一な堆積をもたらす。
【0008】半導体デバイス製造においてより大きなス
ループットと効率に対する要望が増えてきたので、基板
縁部での堆積膜の厚さと均一性を管理する集積回路メー
カーの要求内容は、より厳格になった。現在の業界標準
は、基板の面取り縁部上には膜堆積が無いこと、そして
基板縁部から3mm以内の領域(「除外帯域 (exclusio
nary zone)」として知られる)を除き、基板縁部から3
mm地点で膜厚が、基板の中心での膜厚の90パーセン
ト以上の膜厚であり、±5パーセントより良好な厚さ均
一性を要求する。
【0009】従って、裏側堆積を阻止し且つ業界の縁部
除外要求 (edge exclusion demands) を満足させる一方
で、基板の表面全体の堆積均一性に悪影響を及ぼさない
装置および方法に対するニーズがある。
【0010】
【発明の概要】一般的に、本発明の実施例は、基板支持
部材上に配置される基板の縁部へガスを送出する装置お
よび方法を含む。より詳細には、本発明は、基板近くに
配置される偏向部材の中心を端緒とする半径線に対して
角度を持って基板縁部へパージガスを向けるガス送出装
置を提供する。
【0011】本発明のひとつの局面で、ガス流れの角度
は、ガス送出装置に形成される溝または他のガス偏向構
造を通してガスを流すことによって制御される。ガス
は、流体通路を提供する環状の開口部内へ流され、それ
によってガスは基板と接触するよう流される。
【0012】本発明の別の局面は、基板処理装置で用い
られるガス送出装置を提供する。ガス送出装置は、表面
に基板を支持するように適合される支持部材の周辺部分
上に配設される。パージガス通路は支持部材に形成さ
れ、ガス供給装置を環状溝に接続する。偏向部材の少な
くとも一部分が、環状溝上に配設されて、環状溝から供
給されるパージガスの所望の流れを果たすように適合さ
れる複数の溝または他のガス偏向構造を含む。
【0013】本発明の別の局面で、処理装置においてガ
スの流れを指向させるパージガスアセンブリは、偏向部
材の中心軸を端緒とする半径線に対して角度を持ってガ
スの流れを指向させるように適合された偏向面を画成す
る下側表面を有する偏向部材と、偏向部材の上面の少な
くとも一部分の上に配設可能であって、内側の環状リッ
プを備える縁部リングとを備え、偏向部材および縁部リ
ングはパージガス通路の一部分を画成する。
【0014】本発明の更なる別の局面で、基板を処理す
る装置は基板受容表面を有する支持部材と、基板受容表
面の外方へ配設されそこに形成されたガス送出溝を有す
る支持部材の肩部分とを備える。偏向部材は肩部分上に
位置決めされ、縁部リングは偏向部材の上に少なくとも
部分的に配置される。偏向部材は、偏向部材の表面と肩
部分の表面との間の境界面を画成する。境界面に配設さ
れる偏向表面は、偏向部材および肩部分の少なくとも一
方に形成され、偏向面上を流れるガスの接線方向流れを
生じるように適合される。ひとつの実施例では、縁部リ
ングに形成される排気ポートが、縁部リングによって少
なくとも部分的に画成される空間に出口を与えるように
適合される。
【0015】本発明のなお別の局面では、プロセスチャ
ンバにおける支持部材の上側面上に支持される基板へガ
スを送出する方法が提供される。ひとつの実施例で、こ
の方法は、支持部材の肩部上に配設されるガス送出アセ
ンブリを提供するステップであって、ガス送出アセンブ
リは偏向部材と偏向部材上に配設される少なくとも一部
分を有する縁部リングとを備える、アセンブリ提供ステ
ップと;支持部材に形成されるガス送出チャネル内へガ
スを流すステップと;ガスの流れの方向へ接線方向成分
を提供するよう偏向部材および肩部のひとつ以上の上に
配設される偏向面上にガスを流すステップと;次いで、
ガス送出アセンブリと縁部リング間に形成される環状の
開口部内へガスの少なくとも一部分を流すステップとを
含む。
【0016】上記で列挙した本発明の特徴、利点、およ
び目的が達成される様式が詳細に理解できるように、上
記で簡単に概要された本発明のより詳細な説明を、付帯
図面に例示されるその実施例を参照して行う。
【0017】しかし、付帯図面は本発明の典型的な実施
例だけを例示しており、従ってその範囲を限定するとは
みなされず、本発明は他に等しく効果的な実施例を許容
し得ることに留意されたい。
【0018】
【好適な実施例の詳細な説明】ガス送出装置は、特にC
VD処理チャンバで有用であり、以下の説明は、説明と
理解を容易にするよう主としてこれらのCVDプロセス
を引用する。しかし、ガス送出装置はPVDおよびエッ
チング装置等の、他のプロセス、プロセスチャンバ、お
よび装置へ適用できるので、本明細書に記述された正確
な形態に限定されるものではない。
【0019】図1は、処理チャンバ10、例示として化
学気相成長チャンバ、の概略表示である。処理チャンバ
10は本体11と、本体11の上側端部に配設されるリ
ッド12とを含む。シャワーヘッド13が、リッド12
と本体11との間に配設され、複数のポート14を含
み、それを通しガスが流される。ガスは、処理チャンバ
10内で遂行される特定のプロセスに従い種々の不活性
および反応性のガスを含有するプロセス流体供給モジュ
ール15によって供給される。用途によっては、処理チ
ャンバ10内に維持されるプラズマを必要とする。従っ
て、シャワーヘッド13は電源装置アセンブリ16へ結
合され、それは発電機、変圧器、整合回路、およびこの
技術で既知の他の装置のいずれの組合せを含み得る。
【0020】基板支持部材30が処理チャンバ10内に
配設され、主幹部32と、主幹部32の上側端部へ取付
けられた支持プレート34とを含む。支持部材30は、
主幹部32の下側端部へ接続された作動装置17の動作
の下で処理チャンバ10内で昇降可能である。支持プレ
ート34は、基板36を上側面38上に支持するように
適合される。昇降可能な昇降フィンガ33が支持プレー
ト34に配置され、上側面38に対する基板の位置決め
を支援する。好ましくは、等間隔に置かれた3個の昇降
フィンガ33が、基板36を下降または上昇させるため
に基板36の裏側に接触するよう位置決めされる。昇降
フィンガ33の作動は、例えば、モータ19および昇降
機プレート20によって果される。
【0021】多数の周辺装置が、様々なプロセスに必要
とされ得る際に、支持部材30へ接続される。例示とし
て、電源装置18、ポンプ46、およびパージガス供給
装置52が、支持部材30へ接続されて示され、その各
々は後に更に詳細に説明する。
【0022】チャンバ10および種々の関連する構成要
素の操作は、好ましくはコンピュータシステム21によ
って制御される。コンピュータシステム21の概略表示
を図2に示す。コンピュータシステム21は中央演算処
理装置(CPU)23と、メモリ24と、CPU23に
対する支援回路25とを結合するバス系路22を備え
る。CPU23は、種々のチャンバおよびサブプロセッ
サを制御するために工業環境で使用できるいずれかの汎
用コンピュータプロセッサであってもよい。支援回路2
5は、従来の様式でプロセッサを支援するためにCPU
23へ結合される。これらの回路は、キャッシュ、電
源、クロック回路、入力/出力回路、および、サブシス
テムなどを含む。メモリ24またはコンピュータ可読な
媒体が、CPU23へ結合され、随時書込み読出メモリ
(RAM)、読出専用メモリ(ROM)、フロッピディ
スクドライブ、ハードディスク、またはいずれか他の形
態のデジタル記憶装置等、近在のまたは遠隔の容易に利
用可能なメモリのひとつ以上であってもよい。メモリ2
4は単一の実体として示されるとはいえ、メモリ24は
実際には複数のモジュールから構成されてもよく、高速
のレジスタおよびキャッシュから低速だがより大きなD
RAMチップまでの多レベルで存在し得ると理解された
い。堆積プロセスは、一般的にメモリ24内に、普通に
はソフトウエアルーチン26として格納される。ソフト
ウエアルーチン26はコンピュータシステム21がそれ
を必要とする際に、プログラム製品(すなわち信号保持
媒体)からメモリ24内へロードされることができる。
例示のプログラム製品は、(i) 書換不可記憶媒体上に不
変に格納された情報(例えば、CD−ROMドライブで
読出可能なCD−ROMディスク等のコンピュータ内の
読出専用メモリ装置);(ii)書換可能記憶媒体上に格納
された可変情報(例えば、ディスケットドライブ内のフ
ロッピディスクまたはハードディスクドライブ);また
は (iii) 無線通信を含む、コンピュータまたは電話の
ネットワークを介するような、通信媒体によってコンピ
ュータへ伝達された情報;を含む。ソフトウエアルーチ
ン26は、CPU23によって制御されるハードウエア
から遠隔配置される第2CPU(図示せず)によっても
格納および/または実行されてもよい。ソフトウエアル
ーチン26は、多数のサブルーチン27を含み、その各
々は後に説明する種々の操作を遂行するように適合され
る。
【0023】図3は、支持部材30の側面断面図であ
り、その更なる詳細を例示する。基板36が所望のプロ
セス温度に維持されるようにするために、多数ループの
ヒーター要素35が支持プレート34へ埋込まれる。ヒ
ーター要素35は、金属シース内でMgO絶縁材で取囲
まれたニクロム線のような従来の材料で構成されるひと
つ以上のコイルでよい。金属シースは、IncoloyTM、Inc
onelTM、ステンレス鋼、または、鋳造/溶接中に達する
高温に耐えることができる他の金属で作成されてもよ
い。ヒーター要素35の各端での電極37は、プロセス
中にヒーター要素35にエネルギー供給するように適合
される電源18(図1に示す)へ結合され得る。ヒータ
ー要素35は、支持プレート34の均一加熱を提供しそ
の上に搭載される基板36を均一加熱するために、好ま
しくは支持プレートの本体と全ての側面で接触してい
る。
【0024】特定のヒーター要素およびその構成は、支
持部材30および特に支持プレート34の材料に部分的
に依存してもよい。ひとつの実施例で、支持プレート3
4は、ステンレス鋼で作成され、信頼性および製造容易
性を改善する。しかし、支持プレート34は、セラミッ
クおよびアルミニウム等の他の材料で形成されてもよ
い。
【0025】支持プレート34は、基板を支持プレート
34へチャックする能力のある真空系統を装備され、処
理中に支持プレート34と基板36との間の良好な熱接
触を維持する。複数の真空チャネル40が支持プレート
に分散され、複数の真空ポート42へ接続される。真空
チャネル40は、多数の相互接続されたチャネル分節で
構成され、分節は支持プレート34の中心部分から支持
プレート34の周辺部分へ横方向に延在する。真空ポー
ト42は真空チャネル40へ結合され、表面38へ垂直
に上方へ延在する。図示されていないが、上側面38
は、裏側真空が表面38と基板36との間に維持される
溝または凹みを含んでもよい。真空はポンプ46で生成
され、ポンプはその一部分が主幹部32を通り延在する
真空チューブ48によって真空チャネル40へ接続され
る。
【0026】主幹部32は、遠隔に配置されたパージガ
ス供給装置52へ接続されるパージガスチューブ50も
収容する。パージガス供給装置52は、アルゴン等のパ
ージガスをチューブ50へ供給する。チューブ50は、
上側端部で支持プレート34に形成されたパージガス取
入口56へ接続される。取入口56はガス流れ経路の分
節を形成し、それは支持プレート34を通り延在し、支
持プレート34の周辺部分に位置決めされたガス送出ア
センブリ60へパージガスを送出する。
【0027】図4は、図3の支持プレート34およびガ
ス送出アセンブリ60の4−4部分断面を示す。ガス通
路62が支持プレート34の下側面近くに形成され、パ
ージガス取入口56から外方へ支持プレート34の周辺
部分へ一般的に半径方向に延在し、パージガス取入口5
6をガス送出アセンブリ60へ流体で結合する。より詳
細には、ガス通路62はオリフィス63へ接続され、オ
リフィスは、今度はガス送出アセンブリ60の下に配設
された環状溝65へ接続される。オリフィス63は、好
ましくは、支持プレート34に形成された等間隔を置く
開口部である。ひとつの実施例で、12のオリフィスが
設けられて、ガス通路62を環状溝65と結合する。
【0028】オプションとして、環状溝65はそこに配
設されたコイル67を有する。ひとつの実施例で、コイ
ル67は、パージガスを基板36の縁部へ均一に送出す
ることを支援するように適合された螺旋コイルである。
更に、コイル67は金属で製作され、ガス送出アセンブ
リ60が支持プレート34へ適切に接地されることを確
実にする。ガス送出アセンブリ60を接地するうえでの
不首尾は、電荷の蓄積を招くことがあり、それは結果と
してアーク放電をもたらす可能性がある。アーク放電
は、基板への損傷およびチャンバ汚染の可能性があるの
で、望ましくない。有利に使用できるコイル67の一例
は、カルフォルニア州 North Hollywoodの Spira Manuf
acturing Corporation から入手可能な SpiraTM ガスケ
ットである。
【0029】図5は、図4の支持プレート34およびガ
ス送出アセンブリ60の5−5部分断面図である。ガス
送出アセンブリ60は、一般的に、縁部リング70およ
び偏向部材76を備え、それらは支持プレート34の肩
部80上に配設される。縁部リング70および偏向部材
76は、一般的に支持プレート34の形状に倣う形にさ
れた環状構成要素である。図3〜5に示す実施例で、縁
部リング70および偏向部材76はリング形状である。
しかし、それらは長方形、正方形、またはいずれか他の
形状であり得よう。
【0030】偏向部材76は、支持プレート34の肩部
分80に形成された凹み84に着座される。偏向部材7
6は偏向表面90を含み、その一部は凹み84の床面9
2上に配置され、別の部分は溝65の上にエンクロージ
ャを形成する。偏向部材76は、図3に示すもののよう
にねじ94によって支持プレート34へ固定される。よ
り一般的に、支持プレート34からの偏向部材76の容
易な取外しを実現するいずれの締着具を使用されてもよ
い。
【0031】図6は偏向部材76の平面図である。偏向
部材76はリング形であり、中央開口部98、外側取付
部分99、および内側溝付き部分101を画成する。外
側取付部分99は、そこに形成された孔102を有し、
孔は図3に示すねじ94等の締着具を収容するように適
合される。加えて、取付部分99は、切り欠き110
(図5にも示す)を含み、後に説明するように、縁部リ
ング70(図5)を肩部分80(図5)上に位置合せす
るのを助ける。偏向表面90は、偏向部材76の直径で
の内側部分101上に形成され、その上に形成された複
数の溝100を含む。溝100の詳細な例示は、偏向部
材76の部分平面図を示す図7に提供される。溝100
は、偏向部材76の全円周のまわりに相互に接近して離
間する。一実施例で、溝100の数は約120と約18
0との間である。一般的に、溝100の数は偏向部材7
6の直径と共に増える。好ましくは、溝100は相互に
等間隔であり、それからガスを均一に送出する。溝10
0は、偏向部材76の中心を端緒とする半径線104に
対し角度θで配向される。溝100の角度は、そこを流
れるパージガスを半径線に対し速度接線で流れさせる。
正確な角度θおよび結果としての流れの角度は、厳密な
用途およびガス流量等のその変数に依存して変化しても
よい。しかし、一実施例では、半径線104に対する溝
100の角度θは約60度と約75度との間である。特
定の用途では、基板36の半径方向に対する流れの角度
は約75度であり、ここで基板36は300mmの直径
を有する。
【0032】代替の実施例で、溝100は、リング上に
フィン、壁のようなガイド、または他の構造物を配置す
ることによって形成可能である。フィンまたはガイドは
溝を画成でき、これらの溝がパージガスの流れに、或る
角度成分つまり接線成分を移すのである。溝100は直
線か、放物線か、そうでなければ所望角度の流れを生成
するような長さで形作られてもよい。
【0033】再度図5を参照すると、縁部リング70
は、偏向部材76を実質的に覆い肩部80上に位置決め
されて示される。好適な実施例では、縁部リング70は
上側縁部リング72および下側縁部リング74を備え
る。上側と下側の縁部リング72、74は、リング7
2、74が容易に結合および離脱されることを可能にす
る複数のねじ106または類似の締着具によって相互に
結合されることができる。上側と下側の縁部リング7
2、74を結合することに加えて、ねじ106は、縁部
リング70の位置合せも容易にする。従って、ねじ10
6の頭部108は、偏向部材76の切り欠き110内に
配置され、縁部リング70と偏向部材76を相互に揃え
る。
【0034】一実施例で、縁部リング70は支持プレー
ト34または偏向部材76のいずれの部分にも固定され
ず、それによってその取外しを容易にする。縁部リング
70は、それ自体の重量の圧力の下でおよび種々の点と
の摩擦接触によって肩部分80の上に安定化される。図
5に示すように、下側縁部リング74は、偏向部材76
を通し配置されたピン131の上側端部で支持される。
加えて、肩部分80の周辺まわりに配置されたガスケッ
ト130が、上側縁部リング72の環状スカート112
との別の接触点を提供する。ガスケット130は、好ま
しくは金属部材であり、上側縁部リング72と支持プレ
ート34との間に電気的接触を提供し、電荷が上側縁部
リング72から流出すること可能にする。一実施例で、
ガスケット130は、カリフォルニア州 North Hollywo
od にある Spira ManufacturingCorporation から入手
可能な SpiraTM ガスケットである。
【0035】上側縁部リング72は、環状スカート11
2および上部分114を含む。スカート112は、支持
プレート34の肩部80に沿い垂直に配設され、ガスが
支持プレート34の表面上に堆積することを阻止するシ
ールドとして働く。上部分114は、半径方向内方へス
カート112に対し実質的に直角に延在する。上部分1
14は、支持プレート34の上側面38およびその上に
支持される基板の上に距離をおいて位置決めされる上側
面116を含む。傾斜表面118が、上側面116の直
径方向内方へ設けられ、リップ120で終結する。リッ
プ120の上側面は、支持部材30の上側面38の僅か
に下の平面上に位置決めされる。加えて、リップ120
は基板36の外縁から半径方向内方へ延在する。運転
中、基板36の外縁は、リップ120の少なくとも一部
分の上に位置決めされ、その間に空間128を形成す
る。空間128の高さは調節でき、空間128を通り流
れるパージガスの速度に影響を及ぼす。一般的に、空間
128が縮小されるとガスの速度は高まり、その一方空
間128内の圧力は減少する。
【0036】上側縁部リング72、下側縁部リング7
4、および偏向部材76は協働して外側表面122を形
成し、外側表面は、支持プレート34の壁部124に対
して間を隔てて置き対面して配設される。その結果、環
状のパージガス開口部126が壁部124と外側表面1
22との間に形成される。環状のパージガス開口部12
6は、リップ120でおよび基板36の僅かに下の点で
終結する。一実施例で、環状のパージガス開口部126
は、約360度にわたって途切れなくできる。従って、
環状のパージガス開口部126から出るガスの流れは、
環状のパージガス開口部126内に配置される構造物ま
たは構成物によって影響されない。
【0037】縁部リング70は、好ましくは、支持プレ
ート34および他の構成要素とできるだけ接触しない。
パージガス送出アセンブリ60の温度を制御するため
に、数多くの熱的絞り部が、上側縁部リング72、下側
縁部リング74、偏向部材76および支持プレート34
間に設けられる。例えば、下側縁部リング74は偏向部
材76の上にピン131上で支持され、その間に間隙1
36を画成する。加えて、上側縁部リングは下側縁部リ
ング上に配設され、下側縁部リングにその縁部で接触す
る。凹み132が、上側縁部リング72の上部分114
の下側表面に形成される。凹み132は、上側と下側の
縁部リング72、74の間に環状空間134を形成す
る。図5に示すように、空間136、138が、それぞ
れ、下側縁部リング74と偏向部材76との間、およ
び、スカート112と肩部80の外側表面との間に設け
られる。加えて、空間140が偏向部材76と肩部80
との間に用意される。空間134、136、138、お
よび140は、種々の構成要素間の熱伝導を最少化す
る。
【0038】支持プレート34とのその近接性および接
触の結果として、偏向部材76は、普通には、上側と下
側の縁部リング72、74より相対的に高い温度に維持
されるであろう。逆に、上側縁部リング72は、下側縁
部リング74および偏向部材76より相対的に低い温度
に維持されるであろう。結果として、低下する温度勾配
が、偏向部材76から上側縁部リング72へ維持され
る。例えば、普通のTiN堆積プロセスでは、上側縁部
リング72の温度は、好ましくは支持プレート34より
低い約100℃と約120℃の間の温度に維持される。
結果としての温度勾配は、堆積が望まれない領域上への
堆積の量を低減する。堆積は、一般的に、堆積される表
面の温度に関連し、より高い温度は普通にはより多い堆
積を提供する。従って、堆積は、支持部材30の相対的
に冷たい領域上で相対的に少ないと予測される。
【0039】運転中、基板は、プロセスのために支持部
材30の上側面38上に位置決めされる。基板の位置決
めは、従来のロボット(図示せず)を使用して行われる
ことができる。基板を運搬中、ロボットは、上側面38
の上の位置へ移動される。次に、昇降フィンガ33が上
昇されて基板をロボットから持上げる。ロボットの後退
後に、支持部材30へ接続された移動作動装置が、支持
部材30を上下方向上方へ昇降フィンガ33の上部を過
ぎて移動させ、基板36を昇降フィンガ33から持上
げ、それによって基板36を上側面38上に残す。これ
らのステップを逆の順序で遂行することが、支持部材3
0からロボットへの基板36の搬送を完了するよう操作
する。
【0040】位置決め中に、基板36が支持部材30に
対して位置合せ不良になることが多い。位置合せ不良を
矯正し、適正な堆積を確実にする助けをするために、位
置合せ装置を用いて、基板36を支持部材30上へ位置
合わせする。位置合せに使用される特定の方法または装
置は、本発明の限定ではなく、既知および未知の技術の
いずれの組合せも含むことができる。一実施例で、傾斜
表面118が、基板の位置合せを助けるように適合され
る。傾斜表面118は、上側端で相対的に大きな直径を
下側端で相対的に小さな直径を有する環状の表面であ
り、結果として内方へ傾斜する面となる。従って、基板
が支持プレート34の表面38上へ降下される際に、傾
斜表面118と接触して、基板はプレート34に対して
中心位置へ追いやられる。
【0041】基板36が位置決めされ、支持部材30上
に位置合せされた後に、支持部材は処理位置へ上昇され
て堆積シーケンスが開始される。堆積シーケンスは、基
板36の上側面近くの区域へガスを流すことを伴う。ガ
スは、基板36に接触しその上で反応することを可能に
され、膜を形成する。
【0042】基板の縁部および裏側上への望ましくない
堆積を阻止するために、アルゴン等のパージガスが基板
36の縁部へ供給される。パージガスは、パージガス供
給装置52によって供給され、チューブ50、取入口5
6およびガス通路62を経由してオリフィス63へ流さ
れる。パージガスは、オリフィス63から環状溝65内
へ送出される。環状溝65は、環状ガス開口部126内
へ流れる前に、偏向プレート76の下へのパージガスの
ある程度均一な配分を可能にする。オプションとしてコ
イル67が提供され、ガス送出の均一性を更に確保す
る。コイル67は、ガスがコイル67の中央環状のキャ
ビティ内へ流れることを可能にする間隔を含み得る。ガ
スの一部分は、コイル67のキャビティ内へ環状に流さ
れ、ここでそれは均一に配分された後、コイル67から
外へ流される。
【0043】環状溝65から、パージガスは偏向部材7
6の偏向面90上を流される。偏向面90に形成された
溝100の角度付き配向は、到来するガスを偏向部材7
6の半径線104に対して角度を成して(図6〜7に示
す)流れるよう向きを変えさせる。その結果、ガスの流
れは接線方向成分を与えられる。それから、ガスは、壁
部124と外側表面122との間、すなわち環状開口部
126を通り上方へ流れる。環状の開口部126は約3
60度にわたって連続しており、それにより、ガスの流
れは基板36の全外周のまわりに送出される、それによ
ってプロセスガスが基板36の裏側および縁部と接触し
てその上に堆積することを阻害する。基板36の下側面
に到達すると、ガスは、空間128を通り傾斜表面11
8の上に流れる。傾斜表面118は、基板36の縁部に
対して上方へおよび半径方向外方へ流れるように適合さ
れ、それによって流れの流動に捕捉された材料を基板3
6から取去って流れる。
【0044】溝100の結果として、パージガスは、基
板36の縁部の周りに保護的竜巻状のガスの壁を形成す
ると信じられる。偏向部材76の円周のまわりに離間す
る全ての溝100からの流れの連合として概観する場
合、溝100は、基板36の外周の周りにパージガスの
壁を創出する。従って、本発明は、基板の外周のまわり
に更に均一なパージガスの流れを確保し、圧力差の影響
を低減し、それによって基板上への高度な堆積均一性を
達成する。
【0045】別の実施例のガス送出アセンブリ200を
図8に示す。簡略化のために、共通の構成要素は、共通
して番号付けされた。ガス送出アセンブリ200は、一
般的に、支持プレート34上に配置された縁部リングア
センブリ202および偏向部材76を備える。縁部リン
グアセンブリ202は下側縁部リング204と上側縁部
リング206を備え、それらは、好ましくはボルトまた
は類似の締着具によって結合された別個の構成要素であ
る。
【0046】下側縁部リング204は偏向部材76の上
に配置され、ピン131によって支持される。下側縁部
リング204と偏向部材76とは一緒に外側表面208
を画成する。外側表面208は、支持プレート34の壁
部124から離間し、環状パージガス開口部126を画
成する。外側表面208の一部分は、下側縁部リング2
04の内径に配置されたリップ210によって画成さ
れ、基板36の周辺部分の下に位置決め可能である。リ
ップ210の上側端部および基板36の下側面は、プロ
セス中にガスが流れる間隙212を画成する。一実施例
で、間隙212は約.010インチと約.025インチ
との間である。
【0047】上側縁部リング206はL字形であり、下
側縁部リング204上を半径方向外方へ延在する上部分
214と、支持プレート34を囲む上側縁部リング20
6の環状スカート216とを有する。上部分214は、
下側縁部リング204に間を置いて覆い、環状空間21
8を画成する。ポート220が上側縁部リング206に
形成され、間隙218と流体連通する。ポート220
は、間隙212に出口を与えるよう上側縁部リング20
6のまわりに等間隔に置かれることができる。ポート2
20が占有する面積は、間隙218へ露出された環状ス
カート216の全面積に関連され得る。一実施例で、ポ
ート220の面積は全面積の約50%と約80%の間で
あり、ここで環状スカート216の円周はCであり、間
隙218の高さはDであり、全露出面積は、CxD=A
CDである。別の間隙219が、上部分214の直径方向
の内側面217と、基板36の縁部221とによって画
成される。一実施例で、間隙219の幅は約.010イ
ンチと約.030インチの間である。
【0048】運転中、ガスはガス通路62、オリフィス
63、環状溝65、溝100、および環状パージガス開
口部126内を通り、図3〜7を参照して上記で説明し
た様式で流される。環状パージガス開口部126からの
ガスの流れパターンは矢印で示される。ガスは最初に間
隙212を通って流れる。ガスの一部は、次に基板36
の縁部221と上側縁部リング206の直径方向内側面
217との間の間隙219を通って流れる。ガスの別の
部分は、環状空間218を通り、次にポート220を通
って流れる。その結果、ガス送出アセンブリ200は、
ガスが間隙212を通って流された後に、少なくとも2
つの別個の流れ経路に沿いガスを向ける。この実施例
は、基板36の上側面、すなわち処理される表面近くの
区域内へ流れるガスの容積を低減する。従って、基板3
6の上側面上への材料の堆積との干渉は低減される一
方、それでも十分な容積のガスが、間隙212を通って
流され、基板36の裏側および縁部への堆積を阻止す
る。
【0049】上記は本発明の好適な実施例へ向けられた
が、本発明の他のおよび更なる実施例は、その基本範囲
から逸脱することなく考案されることができ、その範囲
は先に記載の特許請求の範囲によって定められる。
【図面の簡単な説明】
【図1】処理チャンバ10の概略表示である。
【図2】コンピュータシステムの概略表示である。
【図3】ガス送出装置を有する支持部材の断面側面図で
ある。
【図4】ガス送出装置を有する支持部材の断面側面図で
ある。
【図5】図4の支持部材の5−5区画の断面側面図であ
る。
【図6】角度付き溝を有する偏向部材の平面図である。
【図7】図6での7−7の部分平面図であり、偏向部材
の溝を示す。
【図8】ガス送出装置の代替の実施例の断面側面図であ
る。
【符号の説明】
10 チャンバ 10 処理チャンバ 11 本体 12 リッド 13 シャワーヘッド 14 ポート 15 プロセス流体供給モジュール 16 電源装置アセンブリ 17 作動装置 18 電源 18 電源装置 19 モータ 20 昇降機プレート 21 コンピュータシステム 22 バス系路 23 CPU 24 メモリ 25 支援回路 26 ソフトウエアルーチン 27 サブルーチン 30 基板支持部材 30 支持部材 32 主幹部 33 昇降フィンガ 34 プレート 34 支持プレート 35 ヒーター要素 36 基板 37 電極 38 上側面 38 表面 40 真空チャネル 42 真空ポート 46 ポンプ 48 真空チューブ 50 チューブ 50 パージガスチューブ 52 パージガス供給装置 56 パージガス取入口 56 取入口 60 ガス送出アセンブリ 60 パージガス送出アセンブリ 62 ガス通路 63 オリフィス 65 溝 65 環状溝 67 コイル 70 縁部リング 72 リング 72 上側縁部リング 72 縁部リング 74 下側縁部リング 76 偏向プレート 76 偏向部材 80 肩部 80 肩部分 90 偏向表面 90 偏向面 92 床面 98 中央開口部 99 取付部分 99 外側取付部分 100 溝 101 内側部分 101 部分 102 孔 104 半径線 108 頭部 112 スカート 112 環状スカート 114 上部分 116 上側面 118 傾斜表面 120 リップ 122 外側表面 124 壁部 126 パージガス開口部 126 環状ガス開口部 126 環状パージガス開口部 126 環状開口部 126 開口部 128 一方空間 128 空間 130 ガスケット 131 ピン 134 環状空間 134 空間 136 空間 136 間隙 140 空間 200 ガス送出アセンブリ 202 縁部リングアセンブリ 204 下側縁部リング 206 上側縁部リング 208 外側表面 210 リップ 212 間隙 214 上部分 216 環状スカート 217 内側面 217 直径方向内側面 218 環状空間 218 間隙 219 間隙 220 ポート 221 縁部/ 鋳造
───────────────────────────────────────────────────── フロントページの続き (72)発明者 セミョン シャースティンスキー アメリカ合衆国, カリフォルニア州, サン フランシスコ, 32エヌディ アヴ ェニュー 742 (72)発明者 アリソン ギリアム アメリカ合衆国, カリフォルニア州, フリーモント, ワバナ ストリート 47827 (72)発明者 ポール エフ. スミス アメリカ合衆国, カリフォルニア州, サン ノゼ, イー. テイラー ストリ ート 225 ナンバー4 (72)発明者 レオネル エー. ズニガ アメリカ合衆国, カリフォルニア州, サン ノゼ, パインゲイト ウェイ 3278 (72)発明者 テッド ヨシドメ アメリカ合衆国, カリフォルニア州, オークランド, プレスリー ウェイ 5744 (72)発明者 ニティン クフラナ アメリカ合衆国, カリフォルニア州, ミルピタス, グラスゴー コート 568 (72)発明者 ロデリック クレーグ モーズレー アメリカ合衆国, カリフォルニア州, プレザントン, ディアヴィラ アヴェニ ュー 4337 (72)発明者 ウーメシュ ケルカール アメリカ合衆国, カリフォルニア州, サニーヴェイル, エヌ. マティルダ 330 ナンバー606 (72)発明者 ジョセフ ユドヴスキー アメリカ合衆国, カリフォルニア州, キャンベル, スモーキー コート 594 (72)発明者 アラン ポピオルコヴスキー アメリカ合衆国, カリフォルニア州, ロス バノス, ジー ジー アヴェニュ ー 228

Claims (28)

    【特許請求の範囲】
  1. 【請求項1】 処理装置においてガスの流れを向けるよ
    うに適合されるパージガスアセンブリであって:偏向面
    上に偏向部材の中心軸から導かれる半径線に対して角度
    を成して前記ガスの前記流れを指向させるように適合さ
    れた前記偏向面を画成する下側面を有する前記偏向部材
    と;前記偏向部材の上側面の少なくとも一部分の上に配
    置可能であり、内側の環状リップを備える縁部リング
    と、を備え、 前記偏向部材および前記縁部リングはパージガス通路の
    一部分を画成する、パージガスアセンブリ。
  2. 【請求項2】 前記環状リップの直径方向の内側部分
    は、前記パージガス通路の別の部分を画成する支持部材
    の基板受容面上に配設される基板の周辺部分の下に配設
    可能である、請求項1記載の装置。
  3. 【請求項3】 前記縁部リングは、相互に結合される第
    1部分および第2部分を備える、請求項1記載の装置。
  4. 【請求項4】 前記縁部リングは、前記縁部リングの中
    心軸に対して実質的に半径方向外方へ延在する複数のパ
    ージガス排気ポートを画成する、請求項1記載の装置。
  5. 【請求項5】 前記縁部リングは:上面と前記環状リッ
    プとの間に配設される傾斜面を有する第1部分と;前記
    第1部分の下面へ結合され、前記偏向部材と対面して配
    設される第2部分と、を備える、請求項1記載の装置。
  6. 【請求項6】 前記第1部分の前記下面および前記第2
    部分の上面は、その間にひとつ以上の空間を画成する、
    請求項5記載の装置。
  7. 【請求項7】 前記縁部リングの前記第1部分および前
    記第2部分は締着具によって結合される、請求項5記載
    の装置。
  8. 【請求項8】 前記偏向面は、そこに少なくとも部分的
    に形成されるひとつ以上の流体溝を備える、請求項1記
    載の装置。
  9. 【請求項9】 前記ひとつ以上の溝は、前記偏向部材の
    中心軸を端緒とする半径線に対し角度を成して前記偏向
    部材の内径から外方へ延在する、請求項8記載の装置。
  10. 【請求項10】 前記角度は約60と75度の間であ
    る、請求項9記載の装置。
  11. 【請求項11】 前記縁部リングは、パージガス排気通
    路の一部分を形成する環状の上側部分を備え、前記縁部
    リングは更に、前記パージガス排気通路に出口を与える
    ポートを備える、請求項1記載の装置。
  12. 【請求項12】 前記環状リップの直径方向の内側部分
    は、前記基板の前記縁部と実質的に等しい高さに配置可
    能である、請求項11記載の装置。
  13. 【請求項13】 基板を処理する装置であって:基板受
    容面を有する支持部材と;前記基板受容面の外方に配置
    され、そこに形成されるガス送出溝を有する前記支持部
    材の肩部分と;偏向部材の表面と前記肩部分の表面との
    間に境界面を画成するよう前記肩部分上に位置決めされ
    る前記偏向部材と;前記境界面に配置され、前記偏向部
    材および前記肩部分のうちの少なくともひとつの上に形
    成される偏向面であって、前記偏向面は前記偏向面上で
    ガスの接線方向流れを生じるように適合される、偏向面
    と;前記偏向部材上に少なくとも部分的に配設される縁
    部リングと、を備える、基板処理装置。
  14. 【請求項14】 前記縁部リングは第1部分と前記第1
    部分の下側面へ結合される第2部分とを備える、請求項
    13記載の装置。
  15. 【請求項15】 更に、前記ガスの溝へ流体結合される
    パージガス供給装置を備える、請求項13記載の装置。
  16. 【請求項16】 前記偏向部材は、前記偏向部材の半径
    に対し角度を成して前記偏向部材の内径面から外方へ延
    在するひとつ以上の溝を前記偏向面に画成する、請求項
    13記載の装置。
  17. 【請求項17】 前記角度は約60と75度の間であ
    る、請求項13記載の装置。
  18. 【請求項18】 前記縁部リングは、前記基板受容面に
    対して実質的に半径方向外方へ延在する複数のパージガ
    ス排気ポートを画成する、請求項13記載の装置。
  19. 【請求項19】 前記縁部リングは上側部分および下側
    部分を備え、その間にパージガス通路を形成し、前記上
    側部分および下側部分の少なくとも一方は前記パージガ
    ス通路に出口を与える排気ポートを画成する、請求項1
    3記載の装置。
  20. 【請求項20】 前記第1部分および前記第2部分は締
    着具によって相互に結合される、請求項19記載の装
    置。
  21. 【請求項21】 プロセスチャンバにおいて支持部材の
    上側面上に支持される基板へガスを送出する方法であっ
    て: a) 前記支持部材の肩部上に配設されるガス送出アセ
    ンブリを提供するステップであって、前記ガス送出アセ
    ンブリは偏向部材と前記偏向部材の上に配設される少な
    くとも一部分を有する縁部リングとを備える、ガス送出
    アセンブリ提供ステップと; b) 前記支持部材に形成されるガス送出チャネル内へ
    ガスを流すステップと; c) ガス流の方向に接線成分を提供するよう前記偏向
    部材および前記肩部のひとつ以上の上に配設される偏向
    面の上にガスを流すステップと; d) 前記ガス送出アセンブリと前記縁部リングの間に
    形成される環状の開口部内へ前記ガスの少なくとも一部
    分を流すステップと、を含む方法。
  22. 【請求項22】 更に、前記縁部リングに画成されるひ
    とつ以上のポートを通し前記ガスの一部分を流すステッ
    プを含む、請求項21記載の方法。
  23. 【請求項23】 更に、前記縁部リングに画成されるひ
    とつ以上のポートを通し前記ガスの第1部分を流すステ
    ップと、前記縁部リングのリップと前記基板の外縁の間
    に形成される空間に前記ガスの第2部分を流すステップ
    とを含む、請求項21記載の方法。
  24. 【請求項24】 前記ガスは、前記偏向部材の半径に対
    し約60度と約75度との間の角度で指向される、請求
    項21記載の方法。
  25. 【請求項25】 c)は、前記偏向面によって画成され
    るひとつ以上の溝を通し前記ガスを流すステップを含
    む、請求項21記載の方法。
  26. 【請求項26】 c)は、前記偏向面によって画成され
    るひとつ以上の溝を通し前記ガスを流すステップを含
    み、前記偏向面は、前記肩部の表面に対面する前記偏向
    部材の表面上に配設される、請求項21記載の方法。
  27. 【請求項27】 c)は、前記偏向面によって画成され
    るひとつ以上の溝を通し前記ガスを流すステップを含
    み、前記偏向面は、前記偏向部材の表面に対面する前記
    肩部の表面上に配設される、請求項21記載の方法。
  28. 【請求項28】 更に、前記基板の周辺部分の方へ前記
    ガスを流すステップを含む、請求項21記載の方法。
JP2001046653A 2000-02-22 2001-02-22 パージガスアセンブリ、基板を処理する装置、及び、基板にガスを送出する方法 Expired - Lifetime JP4772974B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/510110 2000-02-22
US09/510,110 US6350320B1 (en) 2000-02-22 2000-02-22 Heater for processing chamber

Publications (2)

Publication Number Publication Date
JP2001297991A true JP2001297991A (ja) 2001-10-26
JP4772974B2 JP4772974B2 (ja) 2011-09-14

Family

ID=24029410

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001046653A Expired - Lifetime JP4772974B2 (ja) 2000-02-22 2001-02-22 パージガスアセンブリ、基板を処理する装置、及び、基板にガスを送出する方法

Country Status (4)

Country Link
US (1) US6350320B1 (ja)
EP (1) EP1137042A2 (ja)
JP (1) JP4772974B2 (ja)
KR (1) KR100794507B1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008139871A1 (ja) * 2007-05-09 2008-11-20 Ulvac, Inc. パージガスアセンブリ
JP2011521453A (ja) * 2008-05-15 2011-07-21 アプライド マテリアルズ インコーポレイテッド 半導体ウェハのエッチング装置
CN109155275A (zh) * 2016-06-07 2019-01-04 应用材料公司 具有增强边缘密封的用于高功率的工件载体

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3822135B2 (ja) * 2002-05-13 2006-09-13 日本パイオニクス株式会社 気化供給装置
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
WO2005081283A2 (en) 2004-02-13 2005-09-01 Asm America, Inc. Substrate support system for reduced autodoping and backside deposition
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060025049A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Spray slurry delivery system for polish performance improvement and cost reduction
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
CN102396060A (zh) * 2009-04-24 2012-03-28 应用材料公司 具有侧出气口的基板支撑件及方法
KR102124441B1 (ko) * 2009-12-31 2020-06-18 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 엣지 및 경사면 증착을 수정하기 위한 쉐도우 링
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101915460B1 (ko) * 2012-04-05 2018-11-06 주식회사 테스 기판처리장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
DE102012106796A1 (de) * 2012-07-26 2014-01-30 Aixtron Se Thermische Behandlungsvorrichtung mit einem auf einem Substratträgersockel aufsetzbaren Substratträgerring
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US20150047564A1 (en) * 2013-08-15 2015-02-19 Samsung Sdi Co., Ltd. Chemical vapor deposition device
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US10923385B2 (en) * 2016-11-03 2021-02-16 Lam Research Corporation Carrier plate for use in plasma processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11289312B2 (en) 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
US20220049350A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Apparatus design for photoresist deposition
KR20220059742A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 온도 조절 부재를 포함하는 반도체 공정 설비

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999000531A1 (en) * 1997-06-30 1999-01-07 Applied Materials, Inc. Method and apparatus for reducing deposition of contaminants
WO1999003131A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Apparatus and method for delivering a gas
WO1999041426A1 (en) * 1998-02-13 1999-08-19 Applied Materials, Inc. Reactor for chemical vapor deposition

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6051122A (en) * 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
US6040011A (en) 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6224472B1 (en) * 1999-06-24 2001-05-01 Samsung Austin Semiconductor, L.P. Retaining ring for chemical mechanical polishing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999000531A1 (en) * 1997-06-30 1999-01-07 Applied Materials, Inc. Method and apparatus for reducing deposition of contaminants
WO1999003131A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Apparatus and method for delivering a gas
WO1999041426A1 (en) * 1998-02-13 1999-08-19 Applied Materials, Inc. Reactor for chemical vapor deposition

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008139871A1 (ja) * 2007-05-09 2008-11-20 Ulvac, Inc. パージガスアセンブリ
US8377207B2 (en) 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
JP5179482B2 (ja) * 2007-05-09 2013-04-10 株式会社アルバック パージガスアセンブリ
KR101375817B1 (ko) 2007-05-09 2014-03-20 가부시키가이샤 알박 퍼지가스 어셈블리
JP2011521453A (ja) * 2008-05-15 2011-07-21 アプライド マテリアルズ インコーポレイテッド 半導体ウェハのエッチング装置
CN109155275A (zh) * 2016-06-07 2019-01-04 应用材料公司 具有增强边缘密封的用于高功率的工件载体
JP2019519926A (ja) * 2016-06-07 2019-07-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 縁部シーリングを向上させた大電力用ワークピースキャリア
US11127619B2 (en) 2016-06-07 2021-09-21 Applied Materials, Inc. Workpiece carrier for high power with enhanced edge sealing
CN109155275B (zh) * 2016-06-07 2023-09-22 应用材料公司 具有增强边缘密封的用于高功率的工件载体

Also Published As

Publication number Publication date
JP4772974B2 (ja) 2011-09-14
KR20010083246A (ko) 2001-08-31
US6350320B1 (en) 2002-02-26
EP1137042A2 (en) 2001-09-26
KR100794507B1 (ko) 2008-01-16

Similar Documents

Publication Publication Date Title
JP2001297991A (ja) 処理チャンバ用加熱器
KR102275987B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US6374508B1 (en) Apparatus and method for aligning a substrate on a support member
JP5086172B2 (ja) ワークピースの縁部をシールドする装置
US6231674B1 (en) Wafer edge deposition elimination
KR100640553B1 (ko) 텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는 개선된 히터
JP4669606B2 (ja) 基板処理装置及び基板支持方法
KR100867776B1 (ko) 에지 증착을 방지하는 방법 및 장치
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
KR102401704B1 (ko) 이동가능한 에지 링 설계들
US20070139856A1 (en) Method and apparatus for controlling temperature of a substrate
KR20030081177A (ko) 단일웨이퍼처리형 화학증착장치 및 방법
KR20000035677A (ko) 섀도우 링과 챔버내에 섀도우 링을 지지하기 위한 가이드
US20240200191A1 (en) Backside deposition prevention on substrates
JP2002009136A (ja) 共通の案内部材を有するシャドウリング
TW202111833A (zh) 離開袋部晶圓的偵測
US20240175134A1 (en) Conductive cooling of a low temperature pedestal operating in a high temperature deposition sequence
US20230313378A1 (en) Methods of preventing metal contamination by ceramic heater
CN116157909A (zh) 用于低倾角沟槽蚀刻的薄遮蔽环
TW202316555A (zh) 基板支撐件的遮蔽環對準
KR20220024568A (ko) 기판 프로세싱 시스템들을 위한 감소된 직경 캐리어 링 하드웨어

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080221

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101115

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101209

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110310

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110609

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110623

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140701

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4772974

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term