JP2001274144A - ドライエッチング方法、微細加工方法及びドライエッチング用マスク - Google Patents

ドライエッチング方法、微細加工方法及びドライエッチング用マスク

Info

Publication number
JP2001274144A
JP2001274144A JP2000088636A JP2000088636A JP2001274144A JP 2001274144 A JP2001274144 A JP 2001274144A JP 2000088636 A JP2000088636 A JP 2000088636A JP 2000088636 A JP2000088636 A JP 2000088636A JP 2001274144 A JP2001274144 A JP 2001274144A
Authority
JP
Japan
Prior art keywords
mask
gas
etching
tantalum
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000088636A
Other languages
English (en)
Other versions
JP3433721B2 (ja
Inventor
Kazuhiro Hattori
一博 服部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TDK Corp
Original Assignee
TDK Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by TDK Corp filed Critical TDK Corp
Priority to JP2000088636A priority Critical patent/JP3433721B2/ja
Priority to US09/816,784 priority patent/US6821451B2/en
Publication of JP2001274144A publication Critical patent/JP2001274144A/ja
Application granted granted Critical
Publication of JP3433721B2 publication Critical patent/JP3433721B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F41/00Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties
    • H01F41/32Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film, specially adapted for a thin magnetic film
    • H01F41/34Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film, specially adapted for a thin magnetic film in patterns, e.g. by lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【課題】 良好な形状の微細加工を行うことができるド
ライエッチング方法、微細加工方法及びドライエッチン
グ用マスクを提供する。 【解決手段】 含窒素化合物ガスを添加した一酸化炭素
ガスを反応ガスとし、タンタル又は窒化タンタルによる
マスクを使用してエッチングを行う。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、例えば、半導体素
子や磁気素子等のマイクロデバイスを形成する際のドラ
イエッチング方法、このドライエッチング方法を用いた
微細加工方法及びドライエッチング用マスクに関する。
【0002】
【従来の技術】微小半導体素子、磁気素子等のマイクロ
デバイスを製造する場合には、リソグラフィ技術及びエ
ッチング技術を組み合わせて微細加工するプロセスが多
用される。
【0003】リソグラフィ技術は、被加工層の表面に塗
布したレジスト膜等の感光膜に微細パターンを作ってエ
ッチングマスクを形成する技術であり、エッチング技術
は、このようにして得たエッチングマスクの微細パター
ンを被加工層に転写する技術である。
【0004】エッチング技術の1つとして、微細構造の
形成に優れており、低圧の反応ガスのプラズマを利用す
る反応性イオンエッチング法が存在する。
【0005】例えばFe、Co、Ni等の遷移金属元素
を主成分とする磁性材料の反応性エッチングを行う場合
に反応ガスCF、CClのプラズマを用いると、半
導体材料の反応性エッチングを行う場合と同様にハロゲ
ン化合物を形成する。しかしながら、遷移金属のハロゲ
ン化合物は、その結合エネルギが半導体元素のハロゲン
化合物よりもはるかに高いため、蒸発しにくいのみなら
ずスパッタリング反応を受け難いから、エッチング反応
が進み難い。
【0006】このような問題を解消する新しい反応系と
して、一酸化炭素ガスのプラズマを使う方法が研究さ
れ、さらに、その系を改良した含窒素化合物ガスを添加
した一酸化炭素ガスを反応ガスとして用いるドライエッ
チング方法が提案されている(特開平8−253881
号公報、中谷 功、「磁性体薄膜の微細加工」、日本応
用磁気学会誌 Vol.22,N0.11,1998、
第1383頁〜第1389頁)。
【0007】この公知文献には、パーマロイ薄膜上に寸
法が0.6μm程度の図形を加工するべくアンモニア
(NH)ガスを添加した一酸化炭素(CO)ガスを反
応ガスとして反応性イオンエッチングを行い、比較のた
めに、シリコン(100)単結晶及びアルミノほう珪酸
ガラスに対しても同様な反応性エッチングを行った結果
が記載されている。その記載によれば、パーマロイのエ
ッチングレートに対するシリコン(100)単結晶のエ
ッチングレートの比は4であり、パーマロイのエッチン
グレートに対するアルミノほう珪酸ガラスのエッチング
レートの比は9である。
【0008】
【発明が解決しようとする課題】しかしながら、エッチ
ング形状のさらなる微細化が進む最近のプロセスにおい
て、マスクと被加工層とがこの程度のエッチングレート
比しか有していない場合には、マスクの微細図形を良好
な形状を保ちつつ被加工層に転写することが難しい。そ
の理由は、被加工層の所望の部分が全てエッチングされ
る前に、マスク自体がエッチングされてその形状が変化
してしまうため、及びサイドエッチング等の影響により
マスクエッジからの被加工層のエッチングが進んでしま
うためである。特に、0.1μm以下のトレンチ幅やラ
イン幅を有する図形では、その傾向が強く、微細図形を
被加工層に良好に転写することが非常に困難となってい
る。
【0009】従って本発明の目的は、良好な形状の微細
加工を行うことができるドライエッチング方法、微細加
工方法及びドライエッチング用マスクを提供することに
ある。
【0010】
【課題を解決するための手段】本発明によれば、含窒素
化合物ガスを添加した一酸化炭素ガスを反応ガスとし、
タンタル又は窒化タンタルによるマスクを使用してエッ
チングを行うドライエッチング方法が提供される。
【0011】本発明によれば、さらに、被エッチング層
上にタンタル又は窒化タンタルによるマスクを形成し、
含窒素化合物ガスを添加した一酸化炭素ガスを反応ガス
として使用してエッチングを行う微細加工方法が提供さ
れる。
【0012】含窒素化合物ガスを添加した一酸化炭素ガ
スを反応ガスとしてドライエッチングを行う場合に、マ
スク材料としてエッチングレートの小さいタンタル又は
窒化タンタルを用いることにより、マスクと被エッチン
グ層とのエッチング選択比を大きくすることが可能とな
り、マスク自体がエッチングされてその形状が変化して
しまうこと、及びサイドエッチング等の影響によりマス
クエッジからのエッチングが進んでしまうことがなくな
るので、良好な形状の微細図形転写を行うことができ
る。
【0013】マスクが、被エッチング層上にレジストパ
ターンを形成した後、タンタル又は窒化タンタルをター
ゲットとするスパッタリングを行って形成されることも
好ましい。
【0014】マスクが、被エッチング層上にレジストパ
ターンを形成した後、少なくとも窒素ガスを含む反応性
のガスの存在下で、タンタルをターゲットとする反応性
スパッタリングを行って形成されることが好ましい。
【0015】この反応性スパッタリングにおける反応性
のガスが、アルゴンガスと窒素ガスとからなることが好
ましい。
【0016】本発明によれば、さらにまた、含窒素化合
物ガスを添加した一酸化炭素ガスを反応ガスとするドラ
イエッチングで使用されるマスクであって、タンタル又
は窒化タンタルによって形成されたドライエッチング用
マスクが提供される。
【0017】
【発明の実施の形態】図1は本発明の一実施形態におけ
る微細加工プロセスの一部を概略的に示す断面図であ
る。
【0018】まず、図1(A)に示すように、基板10
上に形成された下地層11上に微細加工すべき層である
被エッチング層12を成膜する。
【0019】より具体的には、例えば、3インチシリコ
ンウエハからなる基板10上に、下地層11としてチタ
ン(Ti)を以下の条件で成膜し、その上に被エッチン
グ層12としてコバルトプラチナ合金(CoPt、C
o:75at%、Pt:25at%)を以下の条件で成
膜する。
【0020】 下地層の成膜条件 ターゲット : 99.995%(純度) チタン バックグラウンド圧力 : 9×10−6Pa 流入ガス : アルゴン ガス流量 : 30sccm 全ガス圧 : 0.15Pa(成膜中) 投入電力 : DC500W 基板温度 : 室温(冷水による冷却のみで、温度制御なし)
【0021】 被エッチング層の成膜条件 ターゲット : 99.995%(純度) コバルトプラチナ バックグラウンド圧力 : 9×10−6Pa 流入ガス : アルゴン ガス流量 : 100sccm 全ガス圧 : 2.0Pa(成膜中) 投入電力 : RF500W 基板温度 : 室温(冷水による冷却のみで、温度制御なし)
【0022】次いで、図1(B)に示すように、リソグ
ラフィ技術を用いて被エッチング層12上に微小図形に
パターニングされたレジスト層13を形成する。
【0023】より具体的には、例えば、スピンコート法
によりポジ型レジスト(例えばZEP520、厚さ20
0nm)を塗布し、電子ビーム露光装置を用いて微細図
形パターンを露光し、例えば日本ゼオン社のZED−N
50を用いて現像(例えば室温で5分)することによって
パターニングされたレジスト層13を得る。
【0024】次いで、図1(C)に示すように、この微
小図形にパターニングされたレジスト層13上から、タ
ンタル(Ta)によるマスク層14を成膜する。
【0025】より具体的には、本実施形態においては、
アルゴンガスを用いたスパッタリング法によりタンタル
膜を以下の条件で成膜する。
【0026】 マスク層の成膜条件 ターゲット : 99.995%(純度) タンタル バックグラウンド圧力 : 9×10−6Pa 流入ガス : アルゴン 全ガス流量 : 30sccm 全ガス圧 : 0.15Pa(成膜中) 投入電力 : DC500W ターゲット・基板間距離: 300mm 基板回転 : なし 基板温度 : 室温(冷水による冷却のみで、温度制御なし)
【0027】次いで、図1(D)に示すように、レジス
ト層13をリフトオフ法により除去することにより、パ
ターニングされたマスク14´が得られる。
【0028】より具体的には、超音波洗浄機を用いてテ
トラヒドロフラン等の溶剤中にウエハを浸すことでリフ
トオフする。
【0029】次いで、マスク14´を介して、アンモニ
ア及び一酸化炭素の混合ガスを反応ガスとした反応性ド
ライエッチングを行うことにより、図1(E)に示すよ
うに、パターニングされた被エッチング層12´を得
る。
【0030】図2は、本実施形態で用いる反応性イオン
エッチング装置の構成例を概略的に示す図である。
【0031】同図において、20は被加工物であるウエ
ハ、21はウエハ20を保持するESCステージ電極、
22はプラズマ発生用高周波(13.56MHz)電
源、23は電磁コイル、24はバイアス用高周波(1.
6MHz)電源、25は石英ベルジャ、26は拡散チャ
ンバをそれぞれ示している。
【0032】この反応性エッチング装置によるエッチン
グ条件は下記の通りである。
【0033】反応性ドライエッチング条件 流入ガス : 一酸化炭素及びアンモニア 一酸化炭素ガス流量 : 50sccm アンモニアガス流量 : 150sccm 全ガス圧 : 6.0Pa ソース電力 : 3kW バイアス電力 : 1.2kW
【0034】次いで、図1(F)に示すように、マスク
14´を剥離することによって、所望の微小図形にパタ
ーニングされた被エッチング層12´が得られる。
【0035】本実施形態では、マスク14´を構成する
材料として、タンタル(Ta)を用いている。このタン
タルは、被エッチング層12の構成材料であるコバルト
プラチナ合金(CoPt)に対するエッチングレートの
比(エッチング選択比)が非常に大きく、その結果、良
好な形状の微細図形転写を行うことができる。
【0036】実際に、種々の材料に対して、アンモニア
ガスを添加した一酸化炭素ガスを反応ガスとするドライ
エッチングにおけるエッチングレートを測定した。即
ち、3インチウエハ上に二酸化珪素(SiO)、チタ
ン(Ti)、タンタル(Ta)及びコバルトプラチナ合
金(CoPt)を前述した成膜条件と同様の条件で成膜
したサンプルを作成し、前述した条件で反応性ドライエ
ッチングを行ってエッチングレートを測定した。その結
果及びエッチングレートの比(エッチング選択比)が表
1に示されている。
【0037】
【表1】
【0038】このように、チタン(Ti)のエッチング
選択比が12.4であるのに対して、タンタル(Ta)
のエッチング選択比は26.3である。従って、アンモ
ニアガスを添加した一酸化炭素ガスを反応ガスとする反
応性ドライエッチングにおいてタンタルをマスクとして
用いれば、マスク自体がエッチングされてその形状が変
化してしまうこと、及びサイドエッチング等の影響によ
りマスクエッジからのエッチングが進んでしまうことが
なくなり、良好な形状の微細図形転写を行うことができ
る。
【0039】図3はマスクに従来のごとくチタン(T
i)を用いてコバルトプラチナ合金を上述のような条件
で反応性ドライエッチングすることにより実際に形成し
たアイランド形状の走査電子顕微鏡(SEM)像を表す
写真であり、図4はマスクに本実施形態のごとくタンタ
ル(Ta)を用いてコバルトプラチナ合金を上述のよう
な条件で反応性ドライエッチングすることにより実際に
形成したアイランド形状のSEM像を表す写真である。
両図において、(A)はエッチング後でマスク剥離前、
(B)はマスク剥離後の形状をそれぞれ示している。
【0040】図3(A)及び(B)に示すように、チタ
ンをマスクとして用いた場合、エッチング後には実際に
はマスクが十分に残存しておらず、また、サイドエッチ
ングが進んでいるため、最終的に得られるコバルトプラ
チナ合金の各アイランドは円錐形状となっている。これ
に対して、図4(A)及び(B)に示すように、タンタ
ルをマスクとして用いた場合、エッチング後にもマスク
の特に下部が十分に残存しており、最終的に得られるコ
バルトプラチナ合金の各アイランドは良好な形状を保っ
ている。
【0041】以上述べた実施形態においては、マスクと
してタンタルを用いているが、本発明の変更態様におい
ては、タンタルの代わりに窒化タンタルを用いる。
【0042】即ち、図1(C)において、この微小図形
にパターニングされたレジスト層13上から、窒化タン
タル(TaN)によるマスク層14を成膜する。
【0043】より具体的には、窒素及びアルゴンの混合
ガスプラズマを用いた反応性スパッタリング法(成膜中
に窒化)により窒化タンタル膜を以下の条件で成膜す
る。
【0044】 マスク層の成膜条件 ターゲット : 99.995%(純度) タンタル バックグラウンド圧力 : 9×10−6Pa 流入ガス : アルゴン及び窒素 全ガス流量 : 30sccm 全ガス圧 : 0.15Pa(成膜中) 窒素ガス流量の割合 : 0〜60% 投入電力 : DC500W ターゲット・基板間距離: 300mm 基板回転 : なし 基板温度 : 室温(冷水による冷却のみで、温度制御なし)
【0045】この変更態様における以降の工程は、前述
した実施形態の場合と同様である。なお、窒化タンタル
を成膜する際に、反応性スパッタング法を用いず、窒化
タンタルをターゲットとする一般的なスパッタリングを
用いて成膜してもよいことは明らかである。
【0046】窒化タンタル(TaN)は、被エッチング
層12の構成材料であるコバルトプラチナ合金(CoP
t)に対するエッチングレートの比(エッチング選択
比)が非常に大きい。従って、この窒化タンタルを用い
てマスク14´を構成することにより、良好な形状の微
細図形転写を行うことができる。
【0047】なお、ドライエッチング時の反応ガスとし
て一酸化炭素ガスに添加する含窒素化合物ガスには、前
述したアンモニア(NH)ガスの他に含窒素アミン類
ガスがある。
【0048】また、上述した実施形態においては、磁性
体材料上に0.1μm以下の多数のアイランドを形成す
る例を用いて説明されているが、本発明は磁性体材料の
それ以外の微細加工、さらに、例えば半導体材料等の磁
性体材料以外の材料の微細加工にも当然に適用可能であ
る。
【0049】以上述べた実施形態は全て本発明を例示的
に示すものであって限定的に示すものではなく、本発明
は他の種々の変形態様及び変更態様で実施することがで
きる。従って本発明の範囲は特許請求の範囲及びその均
等範囲によってのみ規定されるものである。
【0050】
【発明の効果】以上詳細に説明したように本発明によれ
ば、含窒素化合物ガスを添加した一酸化炭素ガスを反応
ガスとしてドライエッチングを行う場合に、マスク材料
としてエッチングレートの小さいタンタル又は窒化タン
タルを用いることにより、マスクと被エッチング層との
エッチング選択比を大きくすることが可能となり、マス
ク自体がエッチングされてその形状が変化してしまうこ
と、及びサイドエッチング等の影響によりマスクエッジ
からのエッチングが進んでしまうことがなくなるので、
良好な形状の微細図形転写を行うことができる。
【図面の簡単な説明】
【図1】本発明の一実施形態における微細加工プロセス
の一部を概略的に示す断面図である。
【図2】図1の実施形態で用いる反応性イオンエッチン
グ装置の構成例を概略的に示す図である。
【図3】マスクにチタンを用いてコバルトプラチナ合金
を反応性ドライエッチングすることにより実際に形成し
たアイランドの形状のSEM像を表す写真である。
【図4】マスクにタンタルを用いて、コバルトプラチナ
合金を反応性ドライエッチングすることにより実際に形
成したアイランドの形状のSEM像を表す写真である。
【符号の説明】
10 基板 11 下地層 12 被エッチング層 12´ パターニングされた被エッチング層 13 パターニングされたレジスト層 14 マスク層 14´ マスク 20 ウエハ 21 ステージ電極 22 プラズマ発生用高周波電源 23 電磁コイル 24 バイアス用高周波電源 25 石英ベルジャ 26 拡散チャンバ

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 含窒素化合物ガスを添加した一酸化炭素
    ガスを反応ガスとし、タンタル又は窒化タンタルによる
    マスクを使用してエッチングを行うことを特徴とするド
    ライエッチング方法。
  2. 【請求項2】 被エッチング層上にタンタルによるマス
    クを形成し、含窒素化合物ガスを添加した一酸化炭素ガ
    スを反応ガスとして使用してエッチングを行うことを特
    徴とする微細加工方法。
  3. 【請求項3】 前記マスクが、前記被エッチング層上に
    レジストパターンを形成した後、タンタルをターゲット
    とするスパッタリングを行って形成されることを特徴と
    する請求項2に記載の方法。
  4. 【請求項4】 被エッチング層上に窒化タンタルによる
    マスクを形成し、含窒素化合物ガスを添加した一酸化炭
    素ガスを反応ガスとして使用してエッチングを行うこと
    を特徴とする微細加工方法。
  5. 【請求項5】 前記マスクが、前記被エッチング層上に
    レジストパターンを形成した後、少なくとも窒素ガスを
    含む反応性のガスの存在下で、タンタルをターゲットと
    する反応性スパッタリングを行って形成されることを特
    徴とする請求項4に記載の方法。
  6. 【請求項6】 前記反応性スパッタリングにおける反応
    性のガスが、アルゴンガスと窒素ガスとからなることを
    特徴とする請求項5に記載の方法。
  7. 【請求項7】 前記マスクが、前記被エッチング層上に
    レジストパターンを形成した後、窒化タンタルをターゲ
    ットとするスパッタリングを行って形成されることを特
    徴とする請求項4に記載の方法。
  8. 【請求項8】 含窒素化合物ガスを添加した一酸化炭素
    ガスを反応ガスとするドライエッチングで使用されるマ
    スクであって、タンタル又は窒化タンタルによって形成
    されていることを特徴とするドライエッチング用マス
    ク。
JP2000088636A 2000-03-28 2000-03-28 ドライエッチング方法及び微細加工方法 Expired - Fee Related JP3433721B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000088636A JP3433721B2 (ja) 2000-03-28 2000-03-28 ドライエッチング方法及び微細加工方法
US09/816,784 US6821451B2 (en) 2000-03-28 2001-03-26 Dry etching method, microfabrication process and dry etching mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000088636A JP3433721B2 (ja) 2000-03-28 2000-03-28 ドライエッチング方法及び微細加工方法

Publications (2)

Publication Number Publication Date
JP2001274144A true JP2001274144A (ja) 2001-10-05
JP3433721B2 JP3433721B2 (ja) 2003-08-04

Family

ID=18604486

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000088636A Expired - Fee Related JP3433721B2 (ja) 2000-03-28 2000-03-28 ドライエッチング方法及び微細加工方法

Country Status (2)

Country Link
US (1) US6821451B2 (ja)
JP (1) JP3433721B2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004079045A1 (ja) * 2003-03-05 2004-09-16 Tdk Corporation 磁性材のドライエッチング方法、磁性材及び磁気記録媒体
WO2005001161A1 (ja) * 2003-06-30 2005-01-06 Tdk Corporation 反応性イオンエッチング用のマスク材料、マスク及びドライエッチング方法
WO2009084445A1 (ja) * 2007-12-27 2009-07-09 Canon Anelva Corporation ドライエッチング方法、磁気抵抗効果素子とその製造方法及び製造装置
US7652852B2 (en) 2004-08-20 2010-01-26 Canon Anelva Corporation Magnetoresistance effect device and a preform therefor
JP2010045398A (ja) * 2009-11-17 2010-02-25 Canon Anelva Corp 磁気抵抗効果素子の製造方法
US7819979B1 (en) * 2005-01-31 2010-10-26 Western Digital (Fremont), Llc Method and system for cleaning magnetic artifacts using a carbonyl reactive ion etch
KR101041049B1 (ko) 2003-07-24 2011-06-13 캐논 아네르바 가부시키가이샤 자성 재료의 드라이 에칭 방법
KR101384258B1 (ko) 2012-12-17 2014-04-11 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭 방법

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4605554B2 (ja) * 2000-07-25 2011-01-05 独立行政法人物質・材料研究機構 ドライエッチング用マスク材
US7218484B2 (en) * 2002-09-11 2007-05-15 Kabushiki Kaisha Toshiba Magnetoresistance effect element, magnetic head, and magnetic reproducing apparatus
US7955515B2 (en) * 2005-07-11 2011-06-07 Sandisk 3D Llc Method of plasma etching transition metal oxides
EP2205775A1 (en) * 2007-09-26 2010-07-14 Silverbrook Research Pty. Ltd Reactive ion etching process for etching metals
US20090078674A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Reactive Ion Etching Process for Etching Metals
US8470189B2 (en) * 2008-06-03 2013-06-25 Tdk Corporation Method of forming mask pattern, method of forming thin film pattern and method of forming magnetoresistive element
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) * 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4374912A (en) * 1981-03-31 1983-02-22 Dai Nippon Insatsu Kabushiki Kaisha Photomask and photomask blank
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5422312A (en) * 1994-06-06 1995-06-06 United Microelectronics Corp. Method for forming metal via
JP2677321B2 (ja) 1995-03-15 1997-11-17 科学技術庁金属材料技術研究所長 ドライエッチング方法
US5946167A (en) * 1996-03-15 1999-08-31 Kabushiki Kaisha Toshiba Magnetoresistive sensor having lead and/or bias layer structure contributing to a narrow gap
US5874010A (en) * 1996-07-17 1999-02-23 Headway Technologies, Inc. Pole trimming technique for high data rate thin film heads
JPH1180942A (ja) 1997-09-10 1999-03-26 Japan Energy Corp Taスパッタターゲットとその製造方法及び組立体
JP3131595B2 (ja) 1997-09-22 2001-02-05 科学技術庁金属材料技術研究所長 反応性イオンエッチング用のマスク
KR100397860B1 (ko) * 1997-09-22 2003-12-18 카가쿠기쥬쯔죠 킨조쿠자이료 기쥬쯔켄큐죠 반응성이온에칭법및그장치
JP3421259B2 (ja) 1997-12-25 2003-06-30 ティーディーケイ株式会社 エッチングマスク、その作製方法およびエッチング方法、並びに磁気ヘッドおよびその製造方法
US6287975B1 (en) * 1998-01-20 2001-09-11 Tegal Corporation Method for using a hard mask for critical dimension growth containment
US6602620B1 (en) * 1998-12-28 2003-08-05 Kabushiki Kaisha Toshiba Magnetic recording apparatus, magnetic recording medium and manufacturing method thereof
US6421212B1 (en) * 1999-09-21 2002-07-16 Read-Rite Corporation Thin film read head structure with improved bias magnet-to-magnetoresistive element interface and method of fabrication
US6200433B1 (en) * 1999-11-01 2001-03-13 Applied Materials, Inc. IMP technology with heavy gas sputtering

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004079045A1 (ja) * 2003-03-05 2004-09-16 Tdk Corporation 磁性材のドライエッチング方法、磁性材及び磁気記録媒体
WO2005001161A1 (ja) * 2003-06-30 2005-01-06 Tdk Corporation 反応性イオンエッチング用のマスク材料、マスク及びドライエッチング方法
KR101041049B1 (ko) 2003-07-24 2011-06-13 캐논 아네르바 가부시키가이샤 자성 재료의 드라이 에칭 방법
US7652852B2 (en) 2004-08-20 2010-01-26 Canon Anelva Corporation Magnetoresistance effect device and a preform therefor
US7727409B2 (en) 2004-08-20 2010-06-01 Canon Anelva Corporation Magnetoresistance effect device and method of production thereof
US7819979B1 (en) * 2005-01-31 2010-10-26 Western Digital (Fremont), Llc Method and system for cleaning magnetic artifacts using a carbonyl reactive ion etch
WO2009084445A1 (ja) * 2007-12-27 2009-07-09 Canon Anelva Corporation ドライエッチング方法、磁気抵抗効果素子とその製造方法及び製造装置
JP2010045398A (ja) * 2009-11-17 2010-02-25 Canon Anelva Corp 磁気抵抗効果素子の製造方法
KR101384258B1 (ko) 2012-12-17 2014-04-11 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭 방법
US8728946B1 (en) 2012-12-17 2014-05-20 Hitachi High-Technologies Corporation Plasma etching method
JP2014120591A (ja) * 2012-12-17 2014-06-30 Hitachi High-Technologies Corp プラズマエッチング方法
US9378758B2 (en) 2012-12-17 2016-06-28 Hitachi High-Technologies Corporation Plasma etching method

Also Published As

Publication number Publication date
US20020096493A1 (en) 2002-07-25
US6821451B2 (en) 2004-11-23
JP3433721B2 (ja) 2003-08-04

Similar Documents

Publication Publication Date Title
JP3433721B2 (ja) ドライエッチング方法及び微細加工方法
KR100397860B1 (ko) 반응성이온에칭법및그장치
JP4170165B2 (ja) 反応性イオンエッチング用のマスク材料、マスク及びドライエッチング方法
JP2002540548A (ja) 反応性イオンビームエッチング方法及び当該方法を使用して製造された薄膜ヘッド
JP2001274143A (ja) ドライエッチング方法、微細加工方法及びドライエッチング用マスク
US7591641B2 (en) Mold and process of production thereof
JP2677321B2 (ja) ドライエッチング方法
JP4191096B2 (ja) 磁性材を含む被加工体の加工方法及び磁気記録媒体の製造方法
JP3131595B2 (ja) 反応性イオンエッチング用のマスク
US6713368B2 (en) Etching mask and magnetic head device
JP4027458B2 (ja) X線マスクブランク及びその製造方法並びにx線マスクの製造方法
JP3445584B2 (ja) 反射防止膜のエッチング方法
JP3241447B2 (ja) ダイヤモンドの選択形成方法
JPH10213893A (ja) 露光用マスク及びその製造方法
JPH09278588A (ja) ダイヤモンド微細加工方法
JPH0799150A (ja) 荷電ビーム露光用透過マスクおよびその製造方法
JP2001326156A (ja) パターン形成方法及び情報記憶媒体及び複合高真空装置
JP4792666B2 (ja) ステンシルマスク、その製造方法及び露光方法
JP2004193286A (ja) 超微細カーボンピラーの形成方法
JP2006080359A (ja) 窒化シリコン膜の製造方法及び窒化シリコン膜を用いたパターン形成方法
WO2011048746A1 (ja) 磁気転写用原盤の製造方法
JP2005039082A (ja) マスクブランクス及びステンシルマスク及びその製造方法及びその露光方法
JPH0536591A (ja) X線マスクの製造方法
JP3212372B2 (ja) ダイヤモンド膜の選択形成法
JPH02271615A (ja) 微細パターンの形成方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030430

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080530

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090530

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090530

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100530

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110530

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees