JP2000296984A - Handling device for component in semiconductor manufacturing device - Google Patents

Handling device for component in semiconductor manufacturing device

Info

Publication number
JP2000296984A
JP2000296984A JP11104022A JP10402299A JP2000296984A JP 2000296984 A JP2000296984 A JP 2000296984A JP 11104022 A JP11104022 A JP 11104022A JP 10402299 A JP10402299 A JP 10402299A JP 2000296984 A JP2000296984 A JP 2000296984A
Authority
JP
Japan
Prior art keywords
semiconductor manufacturing
horizontal arm
manufacturing apparatus
wire
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11104022A
Other languages
Japanese (ja)
Inventor
Takayuki Nakamura
貴之 中村
Shigeru Takehiro
茂 竹広
Toshiichi Tomita
敏一 冨田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP11104022A priority Critical patent/JP2000296984A/en
Publication of JP2000296984A publication Critical patent/JP2000296984A/en
Pending legal-status Critical Current

Links

Landscapes

  • Carriers, Traveling Bodies, And Overhead Traveling Cranes (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a handling device which can remove the lid unit, etc., of a handling chamber, for example and carry components to an area adjacent to a semiconductor manufacturing device. SOLUTION: This device is provided with a guide rail 12 arranged on top of a semiconductor manufacturing device 5, a running body 14 movably attached to the guide rail 12, a horizontal arm 20 rotatably mounted on the running body 14, a lifting wire whose one end hangs from the free end of the horizontal arm 20 and the other end is extended to the running body, a wire winding electric driving means and a tool 46 to connect components and the wire. In this constitution, the components are lifted by a electric driving. In addition, the running body 14 can be made to run and the horizontal arm 20 can be turned by light force. Therefore, a heavy lid unit can be handled by even only one operator.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体製造装置に
おける構成部品を取り外し他の位置に搬送する場合等に
用いられる取扱装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a handling apparatus used when a component in a semiconductor manufacturing apparatus is removed and transported to another position.

【0002】[0002]

【従来の技術】近年、半導体デバイスの大容量化、高集
積化、高速化が進む中、スループット向上の要請にも応
えるべく、半導体製造装置は枚葉式マルチチャンバ型が
主流となりつつある。枚葉式マルチチャンバ型半導体製
造装置は、メインフレームモノリスと称されるベースブ
ロックの周囲に複数の処理チャンバを接続したものであ
り、各処理チャンバにメインフレームブロック内の搬送
チャンバを介してウェハを1枚ずつ搬送し処理させると
いうものである。また、処理チャンバは、その処理内容
によって種々のタイプがあるが、いずれも取外し可能な
蓋ユニットを備えている。例えば図4に示すようなエッ
チング処理チャンバ1の場合、チャンバ本体2と、その
上部に取外し可能に接続される上部チャンバ3と、上部
チャンバ3に取り外し可能に接続される蓋ユニット4と
から構成されるものが一般的である。
2. Description of the Related Art In recent years, as semiconductor devices have become larger in capacity, higher in integration, and higher in speed, single-wafer multi-chamber type semiconductor manufacturing apparatuses have become mainstream in order to meet the demand for higher throughput. The single-wafer multi-chamber type semiconductor manufacturing apparatus connects a plurality of processing chambers around a base block called a main frame monolith, and transfers a wafer to each processing chamber via a transfer chamber in the main frame block. That is, they are transported one by one and processed. There are various types of processing chambers depending on the processing contents, and all of them have a removable lid unit. For example, in the case of an etching processing chamber 1 as shown in FIG. 4, the etching processing chamber 1 includes a chamber main body 2, an upper chamber 3 detachably connected to an upper portion thereof, and a lid unit 4 detachably connected to the upper chamber 3. Is common.

【0003】このような枚葉式マルチチャンバ型半導体
製造装置では、各処理チャンバのウェットクリーニング
やその他のメンテナンスを行う場合、蓋ユニットや上部
チャンバ等の上部構成部品を取り外し、半導体製造装置
に隣接するメンテナンスエリアに搬送することとしてい
る。しかしながら、上部チャンバや蓋ユニットは重量が
あるため、かかる取外し・搬送作業は比較的に手間がか
かるものである。特に、処理チャンバの高機能化に伴
い、蓋ユニットはプラズマソースや熱源、マグネトロン
ユニット等の重要な機器が組み込まれ、しかも半導体ウ
ェハの大径化による装置の大型化と相俟って、重量が増
加する傾向にあるため、蓋ユニットを取り扱う場合には
十分に注意を払う必要があった。
In such a single-wafer multi-chamber type semiconductor manufacturing apparatus, when performing wet cleaning or other maintenance of each processing chamber, upper components such as a lid unit and an upper chamber are removed and the processing chamber is adjacent to the semiconductor manufacturing apparatus. It will be transported to the maintenance area. However, since the upper chamber and the lid unit are heavy, such removal / transport operation is relatively troublesome. In particular, with the sophistication of the processing chamber, the lid unit incorporates important equipment such as a plasma source, a heat source, and a magnetron unit. Because of the tendency to increase, it was necessary to pay sufficient attention when handling the lid unit.

【0004】このため、従来においては、蓋ユニットや
上部チャンバ等の取外し・搬送作業には、ハンドトラッ
ク型の取扱装置を用いて作業を行っていた。
[0004] For this reason, in the related art, when removing and transporting the lid unit, the upper chamber, and the like, the operation has been performed using a hand truck type handling device.

【0005】[0005]

【発明が解決しようとする課題】上述した従来の取扱装
置は、基本的には、手押し走行体にリフト機構を備え付
けたものである。このような取扱装置を使用するために
は、半導体製造装置の周囲に取扱装置を移動、セットさ
せるための十分なスペースが必要となる。また、半導体
製造装置が設置されているクリーンルームの床面に段差
や障害物がある場合には、取扱装置のセットに手間取る
という問題もある。更に、枚葉式マルチチャンバ型半導
体製造装置は通常、ガス管を設置するため等に用いられ
るスケルトン構造の枠体で囲まれているが、この枠体
が、その外側に配置される取扱装置の障害となり、作業
に支障を来すこともある。
The conventional handling apparatus described above basically has a hand-held traveling body provided with a lift mechanism. In order to use such a handling apparatus, a sufficient space for moving and setting the handling apparatus around the semiconductor manufacturing apparatus is required. Further, when there are steps or obstacles on the floor of the clean room where the semiconductor manufacturing apparatus is installed, there is a problem that it takes time to set up the handling apparatus. Further, the single-wafer multi-chamber type semiconductor manufacturing apparatus is usually surrounded by a frame having a skeleton structure used for installing gas pipes, etc., and this frame is used for a handling device arranged outside the frame. It may become an obstacle and hinder work.

【0006】本発明は、かかる事情に鑑みてなされたも
のであり、その目的は、半導体製造装置における構成部
品、例えば処理チャンバの蓋ユニット等を取り外し、半
導体製造装置の隣接エリアに搬送することのできる取扱
装置を提供することにある。
The present invention has been made in view of the above circumstances, and an object of the present invention is to remove a component of a semiconductor manufacturing apparatus, for example, a lid unit of a processing chamber, and transport the same to an adjacent area of the semiconductor manufacturing apparatus. It is to provide a handling device which can be used.

【0007】[0007]

【課題を解決するための手段】上記目的を達成するため
に、本発明による取扱装置は、半導体製造装置における
構成部品を吊り上げ、半導体製造装置の隣接エリアに搬
送するものであって、半導体製造装置の上方に配置され
る案内レールと、案内レールに移動自在に取り付けられ
た走行体と、走行体に水平方向に回転自在に取り付けら
れた水平アームと、水平アームに沿って配置され、一端
が水平アームの自由端から垂下され、他端が走行体に延
びている吊上げ用のワイヤと、走行体に取り付けられ、
ワイヤの前記他端が接続される巻胴と、走行体に取り付
けられ、巻胴を回転駆動させる電動モータと、ワイヤの
前記一端に取り付けられ、取り扱うべき構成部品とワイ
ヤとを連結させる工具とを備えることを特徴としてい
る。
In order to achieve the above object, a handling apparatus according to the present invention lifts a component in a semiconductor manufacturing apparatus and transports the component to an area adjacent to the semiconductor manufacturing apparatus. A guide rail disposed above the vehicle, a traveling body movably attached to the guide rail, a horizontal arm rotatably mounted on the traveling body in a horizontal direction, and a horizontal arm arranged along the horizontal arm, one end of which is horizontal. A lifting wire suspended from the free end of the arm and the other end extending to the traveling body, attached to the traveling body,
A winding drum to which the other end of the wire is connected, an electric motor attached to the traveling body, which rotationally drives the winding drum, and a tool attached to the one end of the wire and connecting the component to be handled and the wire. It is characterized by having.

【0008】上記構成の取扱装置は、案内レールに沿っ
て走行体を移動させると共に、水平アームを走行体に対
して回動させることで、水平アームの自由端からワイヤ
により吊り下げられている工具を、取り扱うべき構成部
品の上方に配置することができる。そして、重量のある
蓋ユニット等の構成部品の吊上げは電動モータの駆動力
によって行われる。ワイヤの先端にて構成部品を吊支し
た状態でも、走行体の移動と水平アームの回動は人力で
行うことができるので、構成部品を半導体製造装置の隣
接エリアに確実且つ容易に運ぶことができる。
[0008] In the handling device having the above structure, the tool suspended from the free end of the horizontal arm by a wire is provided by moving the traveling body along the guide rail and rotating the horizontal arm with respect to the traveling body. Can be placed above the components to be handled. Lifting of a heavy component such as a lid unit is performed by a driving force of an electric motor. Even when the component is suspended at the end of the wire, the traveling body and the rotation of the horizontal arm can be performed manually, so that the component can be reliably and easily transported to the adjacent area of the semiconductor manufacturing apparatus. it can.

【0009】本発明による取扱装置はかかる作用効果を
有するため、ウェットクリーニング等で取り外さなけれ
ばならない蓋ユニットを有する処理チャンバが複数設け
られている枚葉式マルチチャンバ型の半導体製造装置に
対して、特に有効である。
The handling apparatus according to the present invention has such an operation and effect. Therefore, the present invention is applicable to a single-wafer multi-chamber type semiconductor manufacturing apparatus provided with a plurality of processing chambers each having a lid unit which must be removed by wet cleaning or the like. Especially effective.

【0010】また、半導体製造装置を囲む枠体が設けら
れている場合においては、案内レールは枠体に取り付け
ることが好ましい。これにより、本発明による取扱装置
の全ての構成要素が半導体製造装置の上方に配置される
ことになり、半導体製造装置の周囲の状態に拘わらず、
構成部品の吊上げ・搬送が可能となる。
When a frame surrounding the semiconductor manufacturing apparatus is provided, it is preferable that the guide rail is attached to the frame. Thereby, all the components of the handling apparatus according to the present invention will be arranged above the semiconductor manufacturing apparatus, regardless of the state around the semiconductor manufacturing apparatus.
Lifting / transporting of component parts becomes possible.

【0011】[0011]

【発明の実施の形態】図1〜図3は本発明の好適な実施
形態を示している。この実施形態における取扱装置10
は、枚葉式マルチチャンバ型の半導体製造装置に適用さ
れるものである。
1 to 3 show a preferred embodiment of the present invention. Handling device 10 in this embodiment
Is applied to a single wafer multi-chamber type semiconductor manufacturing apparatus.

【0012】図1に例示的に示している半導体製造装置
5は、米国のアプライド・マテリアルズ・インコーポレ
イテッドにより「Centura(登録商標)」の名で
製造・販売されているものであり、メインフレームモノ
リス6にDPS(DecoupledPlasma Source:非結合型プ
ラズマソース)エッチング処理チャンバ1a,1bを2
基接続したものである。各処理チャンバ1a,1bは、
先に参照した図4に示したものであり、チャンバ本体2
上に上部チャンバ3が脱着可能に取り付けられ、更にそ
の上に蓋ユニット4が脱着可能に取り付けられて構成さ
れている。上部チャンバ3は、アルミニウム削出し物で
あり、その重量は約26kgである。蓋ユニット4は、
多数のハロゲンランプから成る熱源と、非結合型プラズ
マソースと、これらのコントロールユニットとが内蔵さ
れ、約36kgもの重量を有している。また、この半導
体製造装置5の周囲には、当該装置5をほぼ囲繞するよ
うな態様で、当該装置5のガス管(図示しない)等を配
設するためのスケルトン構造の枠体7が設けられてい
る。なお、符号8はロードロックチャンバである。
A semiconductor manufacturing apparatus 5 exemplarily shown in FIG. 1 is manufactured and sold by Applied Materials, Inc. of the United States under the name of "Centura (registered trademark)", and has a main frame. A monolith 6 is provided with two DPS (Decoupled Plasma Source) etching processing chambers 1a and 1b.
It is a base connection. Each processing chamber 1a, 1b
It is the one shown in FIG.
An upper chamber 3 is detachably mounted thereon, and a lid unit 4 is further detachably mounted thereon. The upper chamber 3 is made of machined aluminum and weighs about 26 kg. The lid unit 4 is
A heat source composed of a large number of halogen lamps, a non-coupled plasma source, and a control unit for them are built in and weigh about 36 kg. A frame 7 having a skeleton structure for disposing a gas pipe (not shown) of the apparatus 5 is provided around the semiconductor manufacturing apparatus 5 so as to substantially surround the apparatus 5. ing. Reference numeral 8 is a load lock chamber.

【0013】このような枚葉式マルチチャンバ型の半導
体製造装置5に対する本発明による構成部品の取扱装置
10は、枠体7の上部のサイドビーム7R,7L間に横
架された直線状の案内レール12を備えている。案内レ
ール12は2本あり、互いに平行に配置されている。こ
の1対の案内レール12は走行体14を支持している。
走行体14は、板状の走行体本体16と、走行体本体1
6の下面に固着され案内レール12に摺動可能に係合さ
れるスライダ部材18とを備えており、案内レール12
に沿って一方のサイドビーム7R(7L)から他方のサ
イドビーム7L(7R)の間で移動自在となっている。
なお、案内レール12とスライダ部材18の接触部分
は、パーティクルの発生を抑制するため、オイルフリー
タイプの耐摩耗性材料で構成されることが好適である。
The component handling apparatus 10 according to the present invention for such a single-wafer multi-chamber type semiconductor manufacturing apparatus 5 has a linear guide suspended between the side beams 7R and 7L on the upper portion of the frame 7. A rail 12 is provided. There are two guide rails 12, which are arranged in parallel with each other. The pair of guide rails 12 support the traveling body 14.
The traveling body 14 includes a plate-shaped traveling body main body 16 and the traveling body main body 1.
And a slider member 18 fixed to the lower surface of the guide rail 12 and slidably engaged with the guide rail 12.
Along one side beam 7R (7L) and the other side beam 7L (7R).
The contact portion between the guide rail 12 and the slider member 18 is preferably made of an oil-free wear-resistant material in order to suppress generation of particles.

【0014】走行体本体16は案内レール12間に掛け
渡されており、その中央部分に水平アーム20が水平方
向において回転自在に支持されている。より詳細には、
そう構体本体16の中央部分には垂直方向に延びるスリ
ーブ22が貫設されており、このスリーブ22内に、水
平アーム20の末端から上方に延びる円筒体24が軸受
26を介して支持されている。軸受26も耐摩耗性のオ
イルフリータイプが好ましい。
The traveling body 16 extends between the guide rails 12, and a horizontal arm 20 is supported at the center thereof so as to be rotatable in the horizontal direction. More specifically,
A vertically extending sleeve 22 extends through a central portion of the body 16, and a cylindrical body 24 extending upward from the end of the horizontal arm 20 is supported through a bearing 26 in the sleeve 22. . The bearing 26 is also preferably a wear-resistant oil-free type.

【0015】このように水平アーム20が走行体14に
対して回転可能であり、また走行体14が案内レール1
2に沿って移動可能であるので、案内レール12に対す
る走行体14の位置と、走行体14に対する水平アーム
20の位置(角度)を調整することで、水平アーム20
の自由端を図1の一点鎖線で示される範囲内のあらゆる
位置に配置することができる。この範囲は、水平アーム
20の長さを変えることで適宜変更できる。図示実施形
態では、水平アーム20の自由端の移動範囲を、全処理
チャンバ1a,1bの最外周の上方には到達できるが、
枠体7の柱部7Pには届かない範囲としている。なお、
走行体14の走行及び水平アーム20の回動は人力で行
うので、図3の符号28で示すように、水平アーム20
の側面にハンドルを設けることが好ましい。
As described above, the horizontal arm 20 is rotatable with respect to the traveling body 14, and the traveling body 14 is
2, the position of the traveling body 14 with respect to the guide rail 12 and the position (angle) of the horizontal arm 20 with respect to the traveling body 14 are adjusted.
Can be arranged at any position within the range shown by the dashed line in FIG. This range can be appropriately changed by changing the length of the horizontal arm 20. In the illustrated embodiment, the movement range of the free end of the horizontal arm 20 can reach above the outermost circumference of all the processing chambers 1a and 1b.
The range is set so as not to reach the column 7P of the frame 7. In addition,
Since the traveling of the traveling body 14 and the rotation of the horizontal arm 20 are performed manually, as shown by reference numeral 28 in FIG.
It is preferable to provide a handle on the side surface of the camera.

【0016】水平アーム20は管状体であり、その内部
には図2及び図3に示す如く複数のプーリ30が配列さ
れている。これらのプーリ30には蓋ユニット4等のチ
ャンバ構成部品を吊り上げるためのワイヤ32が掛け渡
されており、ワイヤ32の一端は円筒体24を通して走
行体本体16の上方に延び、他端は水平アーム20の自
由端下面の開口34から垂下されている。
The horizontal arm 20 is a tubular body, inside which a plurality of pulleys 30 are arranged as shown in FIGS. A wire 32 for lifting a chamber component such as the lid unit 4 is wound around these pulleys 30. One end of the wire 32 extends above the traveling body 16 through the cylindrical body 24, and the other end is a horizontal arm. 20 is suspended from an opening 34 on the lower surface of the free end.

【0017】走行体14の上方に延びるワイヤ32の端
部は巻胴36に接続されている。この巻胴36は、水平
アーム20の円筒体24の上端に固定され、水平アーム
20と一体的に回転される支持体38に回転可能に支持
されている。また、巻胴36は、同じく支持体38に固
定された電動モータ40により適当な減速伝動機構42
を介して回転駆動される。なお、巻胴36、電動モータ
40及び伝動機構42は支持体38に取り付けられるカ
バー44により、その全体が覆われることが好ましい。
The end of the wire 32 extending above the traveling body 14 is connected to a winding drum 36. The winding drum 36 is fixed to the upper end of the cylindrical body 24 of the horizontal arm 20 and is rotatably supported by a support 38 that is rotated integrally with the horizontal arm 20. The winding drum 36 is driven by an electric motor 40 also fixed to the support body 38 by a suitable deceleration transmission mechanism 42.
Is driven to rotate. Preferably, the winding drum 36, the electric motor 40, and the transmission mechanism 42 are entirely covered by a cover 44 attached to the support 38.

【0018】一方、水平アーム20の自由端から垂下さ
れているワイヤ32の他端には、半導体製造装置5の構
成部品をワイヤ32に繋いで吊り上げるための工具46
が取り付けられている。工具46はワイヤの端部に取外
しができないように固着されてもよいが、扱う構成部品
に応じて交換ができるよう、ワイヤ32の端部に適当な
手段を介して脱着可能に接続できるようにすることが好
ましい。
On the other hand, the other end of the wire 32 hanging from the free end of the horizontal arm 20 is provided with a tool 46 for connecting the components of the semiconductor manufacturing apparatus 5 to the wire 32 for lifting.
Is attached. The tool 46 may be non-removably secured to the end of the wire, but may be removably connected to the end of the wire 32 through suitable means so that it can be replaced depending on the component being handled. Is preferred.

【0019】図示の工具46は、図4に示されるエッチ
ング処理チャンバ1の蓋ユニット4及び上部チャンバ3
に対応しており、3本の吊上げ用ロッド48を放射状に
配し、各ロッド48の先端には、蓋ユニット4のハンド
ル50を把持するためのグリッパ52が設けられてい
る。また、この工具46は、4本のねじを備えており、
これらのねじ(図示しない)を上部チャンバ3の上面に
形成されているねじ穴(図示しない)に螺合させること
で、上部チャンバ3との連結が可能となっている。な
お、上部チャンバ3を固定する際、ロッド48を上方に
折り曲げることができ、上部チャンバとの干渉を防止す
ることができる。工具46を吊り下げた状態ではワイヤ
32はプーリ30間で緊張状態となり、電動モータ40
を制御して巻胴36を正逆いずれかの方向に回転させる
ことで、工具46を上下動させることができる。
The illustrated tool 46 includes the lid unit 4 and the upper chamber 3 of the etching chamber 1 shown in FIG.
And three lifting rods 48 are radially arranged, and a gripper 52 for gripping a handle 50 of the lid unit 4 is provided at the tip of each rod 48. The tool 46 has four screws,
By screwing these screws (not shown) into screw holes (not shown) formed in the upper surface of the upper chamber 3, connection with the upper chamber 3 is possible. When the upper chamber 3 is fixed, the rod 48 can be bent upward, so that interference with the upper chamber can be prevented. When the tool 46 is suspended, the wire 32 is in tension between the pulleys 30 and the electric motor 40
, The tool 46 can be moved up and down by rotating the winding drum 36 in either the forward or reverse direction.

【0020】次に、上記構成の取扱装置10を用いて、
一方のエッチング処理チャンバ1aにおける蓋ユニット
4を上部チャンバ3から外し、枠体7の外側のメンテナ
ンスエリア9に搬送する手順について説明する。
Next, using the handling device 10 having the above structure,
A procedure for removing the lid unit 4 in the one etching processing chamber 1a from the upper chamber 3 and transporting the lid unit 4 to the maintenance area 9 outside the frame 7 will be described.

【0021】まず、分解すべきエッチング処理チャンバ
1aの蓋ユニット4の固定を、その固定用のボルト・ナ
ットを外すことで解除する。次いで、手動で走行体14
を案内レール12に沿って移動させると共に、水平アー
ム20を回動させ、水平アーム20の自由端を対象のエ
ッチング処理チャンバ1aの真上に配置する(図1の
(a)参照)。
First, the fixing of the lid unit 4 of the etching chamber 1a to be disassembled is released by removing the fixing bolt and nut. Next, the traveling body 14 is manually
Is moved along the guide rail 12, and the horizontal arm 20 is rotated so that the free end of the horizontal arm 20 is disposed directly above the target etching processing chamber 1a (see FIG. 1A).

【0022】次いで、電動モータ40を制御し、ワイヤ
32を巻胴36から巻きほどいて工具46を降ろし、蓋
ユニット4のリング状ハンドル50に工具46の各グリ
ッパ52を掛合させる。
Next, the electric motor 40 is controlled to unwind the wire 32 from the winding drum 36, lower the tool 46, and engage the grippers 52 of the tool 46 with the ring-shaped handle 50 of the lid unit 4.

【0023】この後、巻胴36が逆転するよう電動モー
タ40を制御し、工具46を引き上げて蓋ユニット4を
吊り上げる。巻胴36及び電動モータ40はカバー44
により囲まれた空間内に配置され、また、そこから延び
るワイヤ32及びプーリ30は円筒体24及び水平アー
ム20により包囲されているので、巻胴36、電動モー
タ40、ワイヤ32及びプーリ30等の動きによりパー
ティクルがクリーンルーム内に飛散することはない。
Thereafter, the electric motor 40 is controlled so that the winding drum 36 rotates in the reverse direction, and the tool 46 is pulled up to lift the lid unit 4. The winding drum 36 and the electric motor 40 are covered by a cover 44.
And the wire 32 and the pulley 30 extending therefrom are surrounded by the cylindrical body 24 and the horizontal arm 20, so that the winding drum 36, the electric motor 40, the wire 32, the pulley 30, etc. The particles do not scatter in the clean room due to the movement.

【0024】蓋ユニット4が上部チャンバ3から所定の
高さだけ上昇したならば、走行体14を案内レール12
の一方の端部まで移動させ、水平アーム20を枠体7の
外側に回動させて、ワイヤ32により吊り下げられた蓋
ユニット4をメンテナンスエリア9の上方に配置する
(図1の(b)参照)。そして、電動モータ40を駆動
しワイヤ32を巻胴36から繰り出して、蓋ユニット4
をメンテナンスエリア9に降ろす。
When the lid unit 4 has risen from the upper chamber 3 by a predetermined height, the traveling body 14 is moved to the guide rails 12.
, The horizontal arm 20 is rotated to the outside of the frame 7, and the lid unit 4 suspended by the wire 32 is disposed above the maintenance area 9 (FIG. 1B). reference). Then, the electric motor 40 is driven to draw out the wire 32 from the winding drum 36, and the lid unit 4
To the maintenance area 9.

【0025】前述したように、DPSエッチング処理チ
ャンバ1の蓋ユニット4は36kgもの重量があるが、
上部チャンバ3からの吊上げには電動モータ40が用い
られ、また、このような重量のある蓋ユニット4を吊支
した状態であっても水平アーム20及び走行体14は軽
い力で動かすことができるので、1人の作業員のみで蓋
ユニット4の搬送を行うことができる。また、取扱装置
10の全ての構成要素が半導体製造装置5の上方に配置
されているので、半導体製造装置5の周囲の状態、例え
ば床面の段差の有無や周囲スペースの大小に拘わらず、
蓋ユニット4を取り扱うことが可能となる。更に、未使
用時には、水平アーム20や工具46を枠体7の内側に
納めておけば、半導体製造装置周りでの他の作業にも支
障を来さない。
As described above, although the lid unit 4 of the DPS etching processing chamber 1 weighs as much as 36 kg,
An electric motor 40 is used for lifting from the upper chamber 3, and even when such a heavy lid unit 4 is suspended, the horizontal arm 20 and the traveling body 14 can be moved with a light force. Therefore, the lid unit 4 can be transported by only one worker. Further, since all the components of the handling device 10 are disposed above the semiconductor manufacturing device 5, regardless of the state of the periphery of the semiconductor manufacturing device 5, for example, whether there is a step on the floor surface or the size of the surrounding space,
The lid unit 4 can be handled. Further, when the horizontal arm 20 and the tool 46 are stored inside the frame 7 when not in use, other operations around the semiconductor manufacturing apparatus are not hindered.

【0026】なお、メンテナンスエリア9から上部チャ
ンバ3に戻し再取付けを行う場合は、上記とは逆の手順
を行い、また、上部チャンバ3のチタャンバ本体2から
の取外しや再取付け、或いは、他方のエッチング処理チ
ャンバ1bの分解も同様な手順となることは容易に理解
されよう。
When returning to the upper chamber 3 from the maintenance area 9 and reattaching the same, the reverse procedure is performed. It will be easily understood that the disassembly of the etching processing chamber 1b has the same procedure.

【0027】以上、本発明の好適な実施形態について詳
細に説明したが、本発明は上記実施形態に限定されない
ことはいうまでもない。例えば、上記実施形態では、蓋
ユニット4と上部チャンバ3を扱うものとしているが、
半導体製造装置5の他の構成部品を同様に扱うこととし
てもよい。勿論、そのような場合には別の工具が用いら
れることとなろう。また、半導体製造装置が他の形態の
ものである場合には、その形態に合わせて各構成要素の
取付位置や形状等は適宜変更される。更に、枠体7がな
い場合には、案内レール12を天井から吊り下げる等の
別の手段で対処してもよい。
Although the preferred embodiments of the present invention have been described in detail, it goes without saying that the present invention is not limited to the above embodiments. For example, in the above embodiment, the lid unit 4 and the upper chamber 3 are handled.
Other components of the semiconductor manufacturing apparatus 5 may be handled similarly. Of course, in such a case, another tool would be used. Further, when the semiconductor manufacturing apparatus is of another form, the mounting position, shape, and the like of each component are appropriately changed according to the form. Further, when the frame 7 is not provided, another measure such as hanging the guide rail 12 from the ceiling may be used.

【0028】[0028]

【発明の効果】以上述べたように、半導体製造装置にお
ける処理チャンバの蓋ユニットのような構成部品を分解
する場合に、容易に且つ確実に、半導体製造装置の隣接
エリアに移送することが可能となる。従って、処理チャ
ンバ内のウェットクリーニングや構成部品のメンテナン
ス、交換等の作業を迅速行うことができ、半導体製造装
置の稼働時間を延ばすことが可能となる。
As described above, when a component such as a lid unit of a processing chamber in a semiconductor manufacturing apparatus is disassembled, it can be easily and reliably transferred to an adjacent area of the semiconductor manufacturing apparatus. Become. Therefore, operations such as wet cleaning in the processing chamber, maintenance and replacement of components can be performed quickly, and the operating time of the semiconductor manufacturing apparatus can be extended.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明による構成部品取扱装置の一実施形態を
示す平面図であり、その動作の態様を示す図である。
FIG. 1 is a plan view showing an embodiment of a component handling apparatus according to the present invention, and a diagram showing an operation mode thereof.

【図2】図1に示す取扱装置を詳細に示す図であり、図
3のII−II線に沿っての断面図である。
2 is a detailed view of the handling device shown in FIG. 1, and is a cross-sectional view taken along the line II-II of FIG.

【図3】図2のIII−III線に沿っての断面図である。FIG. 3 is a sectional view taken along line III-III in FIG. 2;

【図4】エッチング処理チャンバの構造を示す斜視図で
ある。
FIG. 4 is a perspective view showing a structure of an etching processing chamber.

【符号の説明】[Explanation of symbols]

1…エッチング処理チャンバ、3…上部チャンバ(構成
部品)、4…蓋ユニット(構成部品)、5…半導体製造
装置、7…枠体、9…メンテナンスエリア、10…取扱
装置、12…案内レール、14…走行体、20…水平ア
ーム、32…ワイヤ、36…巻胴、40…電動モータ、
46…工具。
DESCRIPTION OF SYMBOLS 1 ... Etching process chamber, 3 ... Upper chamber (component), 4 ... Lid unit (component), 5 ... Semiconductor manufacturing equipment, 7 ... Frame, 9 ... Maintenance area, 10 ... Handling equipment, 12 ... Guide rail, 14 ... running body, 20 ... horizontal arm, 32 ... wire, 36 ... winding drum, 40 ... electric motor,
46 tools.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 中村 貴之 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 (72)発明者 竹広 茂 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 (72)発明者 冨田 敏一 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 Fターム(参考) 3F203 AA10 BA10 CA03 CC04 DA02 DA09  ──────────────────────────────────────────────────続 き Continuing on the front page (72) Inventor Takayuki Nakamura 14-3 Shinzumi, Narita-shi, Chiba Pref. Nogedaira Industrial Park Applied Materials Japan Co., Ltd. (72) Inventor Shigeru Takehiro 14-3 Shinzumi, Noizumi, Narita-shi, Chiba Applied Materials Japan Co., Ltd. (72) Inventor Shunichi Tomita 14-3 Shinizumi, Narita-shi, Chiba Pref. In Applied Materials Japan Co., Ltd. DA09

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 半導体製造装置における構成部品を吊り
上げ、前記半導体製造装置の隣接エリアに搬送する取扱
装置であって、 前記半導体製造装置の上方に配置される案内レールと、 前記案内レールに移動自在に取り付けられた走行体と、 前記走行体に水平方向に回転自在に取り付けられた水平
アームと、 前記水平アームに沿って配置され、一端が前記水平アー
ムの自由端から垂下され、他端が前記走行体に延びてい
る吊上げ用のワイヤと、 前記ワイヤの前記他端が接続される巻胴と、 前記巻胴を回転駆動させる電動モータと、 前記ワイヤの前記一端に取り付けられ、取り扱うべき構
成部品と前記ワイヤとを連結させる工具とを備える半導
体製造装置における構成部品の取扱装置。
1. A handling device for lifting a component in a semiconductor manufacturing apparatus and transporting the component to an area adjacent to the semiconductor manufacturing apparatus, wherein the guide rail is disposed above the semiconductor manufacturing apparatus, and is movable on the guide rail. A traveling body attached to the traveling body, a horizontal arm rotatably attached to the traveling body in a horizontal direction, disposed along the horizontal arm, one end hanging down from a free end of the horizontal arm, and the other end being A lifting wire extending to the traveling body, a winding drum to which the other end of the wire is connected, an electric motor for rotating the winding drum, and a component attached to the one end of the wire to be handled And a tool for connecting the wire to the component.
【請求項2】 前記半導体製造装置は枚葉式マルチチャ
ンバ型である請求項1に記載の半導体製造装置における
構成部品の取扱装置。
2. The apparatus according to claim 1, wherein the semiconductor manufacturing apparatus is a single-wafer multi-chamber type.
【請求項3】 前記半導体製造装置を囲む枠体が設けら
れている場合において、前記案内レールが前記枠体に取
り付けられている請求項1又は2に記載の半導体製造装
置における構成部品の取扱装置。
3. The apparatus according to claim 1, wherein the guide rail is attached to the frame when a frame surrounding the semiconductor manufacturing apparatus is provided. .
JP11104022A 1999-04-12 1999-04-12 Handling device for component in semiconductor manufacturing device Pending JP2000296984A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11104022A JP2000296984A (en) 1999-04-12 1999-04-12 Handling device for component in semiconductor manufacturing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11104022A JP2000296984A (en) 1999-04-12 1999-04-12 Handling device for component in semiconductor manufacturing device

Publications (1)

Publication Number Publication Date
JP2000296984A true JP2000296984A (en) 2000-10-24

Family

ID=14369645

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11104022A Pending JP2000296984A (en) 1999-04-12 1999-04-12 Handling device for component in semiconductor manufacturing device

Country Status (1)

Country Link
JP (1) JP2000296984A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103395691A (en) * 2013-07-02 2013-11-20 中国石油集团渤海石油装备制造有限公司 Hydraulic lifting mechanism

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103395691A (en) * 2013-07-02 2013-11-20 中国石油集团渤海石油装备制造有限公司 Hydraulic lifting mechanism

Similar Documents

Publication Publication Date Title
JP5532760B2 (en) Conveying system, robot apparatus, and workpiece manufacturing method
TWI548024B (en) Wafer handling system for a semiconductor fabrication facility, and apparatus and method for transporting wafers between semiconductor tools
EP2353797B1 (en) Substrate transfer robot and system
EP1330337B1 (en) Device for conditioning inner surface of chemical tank by grinding
KR101538536B1 (en) Drum washing apparetus
CN219626619U (en) Wafer transfer device and wafer cleaning equipment
JP2018091116A (en) ALC robot
JP6128085B2 (en) Lifting device and auxiliary drive unit
JP2000296984A (en) Handling device for component in semiconductor manufacturing device
JP3587788B2 (en) Elevating type substrate processing apparatus and substrate processing system provided with the same
JP2019004089A (en) Container storage device
JPH11322493A (en) Attachment device and replacement device, for crucible assembly or hot zone member, and drive control method of crucible assembly replacement device
JP2002313873A (en) Conveyance apparatus handled by robot and conveyance robot transfer method
JPH09314369A (en) Laser beam cutting equipment
KR20180002551A (en) Removable crane for robot replacement
JPH06163673A (en) Substrate processing apparatus
CN114523392B (en) Multipurpose unmanned grinding and polishing workstation
JPH11217197A (en) Conveying lifter
KR101812277B1 (en) Removable crane for robot replacement
JP3136405B1 (en) Heat exchanger heat exchanger and method of use
JPH10272396A (en) Coating booth
JP2000147193A (en) Bolt rack and bolt cleaner
JPH079373Y2 (en) Substrate transfer device for vapor phase growth equipment
JPH089151Y2 (en) Brick stacking equipment
JPH11171475A (en) Position control type jib crane

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090310

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090610

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090615

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090915