JP2000294775A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JP2000294775A
JP2000294775A JP11100098A JP10009899A JP2000294775A JP 2000294775 A JP2000294775 A JP 2000294775A JP 11100098 A JP11100098 A JP 11100098A JP 10009899 A JP10009899 A JP 10009899A JP 2000294775 A JP2000294775 A JP 2000294775A
Authority
JP
Japan
Prior art keywords
film
wsi
metal silicide
films
silicide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11100098A
Other languages
Japanese (ja)
Inventor
Kojiro Nagaoka
弘二郎 長岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP11100098A priority Critical patent/JP2000294775A/en
Publication of JP2000294775A publication Critical patent/JP2000294775A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To realize a method of manufacturing a semiconductor device wherein abnormal oxidation of metal silicide films formed on a semiconductor substrate can be suppressed. SOLUTION: After metal silicide films 4 and 5 are formed on a semiconductor substrate 1, the resulting member is annealed in an atmosphere of SiXHYClZ (where X, Y and Z indicate the composition percentages of the respective elements), to thereby improve the crystallinity of the films 4 and 5 and supply Si in the SiXHYClZ gas to the films 4 and 5. As a result, the films 4 and 5 can contain larger amounts of Si after growth. This prevents abnormal oxidation from occurring on the films 4 and 5 even when the films 4 and 5 are exposed to a high-temperature oxygen atmosphere during subsequent heat treatments.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体基板上に金
属シリサイド膜を有する半導体装置の製造方法にに関
し、更に詳しくは金属シリサイド膜の異常酸化を抑制す
る半導体装置の製造方法に関する。
The present invention relates to a method for manufacturing a semiconductor device having a metal silicide film on a semiconductor substrate, and more particularly to a method for manufacturing a semiconductor device for suppressing abnormal oxidation of a metal silicide film.

【0002】[0002]

【従来の技術】図4は、金属シリサイド膜を用いた従来
の半導体装置の製造方法を説明する模式断面図であり、
半導体装置としては例えばMOSトランジスタであり、
そのゲート電極の形成工程を示す。
2. Description of the Related Art FIG. 4 is a schematic sectional view for explaining a conventional method for manufacturing a semiconductor device using a metal silicide film.
The semiconductor device is, for example, a MOS transistor,
The step of forming the gate electrode will be described.

【0003】図4Aを参照して、先ずSi基板1の表面
上にゲート酸化膜(SiO2 膜)2が、膜厚約5nmで
成膜される。そして、ゲート酸化膜2の上に、例えばリ
ンがドープ(添加)され低抵抗化されたポリシリコン膜
3を、次に示す条件でのCVD法で約100nm成膜す
る。その条件は、基板温度620℃、処理室内圧力1
0.6kPa、ゲート酸化膜2上に供給するガス及びそ
れぞれの流量は、SiH 4 /H2 /PH3 =0.45s
lm/10slm/20sccm、となっている。
Referring to FIG. 4A, first, the surface of Si substrate 1
A gate oxide film (SiOTwo Film) 2 having a thickness of about 5 nm
A film is formed. Then, on the gate oxide film 2, for example,
Doped (added) polysilicon film with low resistance
3 was formed to a thickness of about 100 nm by the CVD method under the following conditions.
You. The conditions are a substrate temperature of 620 ° C. and a processing chamber pressure of 1
0.6 kPa, the gas supplied on the gate oxide film 2 and the
Each flow rate is SiH Four / HTwo / PHThree = 0.45s
lm / 10 slm / 20 sccm.

【0004】ポリシリコン膜3は半導体であるため、リ
ンドープを施してもその低抵抗化には限界がある。そこ
で、ポリシリコン膜3の上にタングステン等の高融点金
属とシリコンとの化合物であるタングステンシリサイド
(WSiX )等の金属シリサイド膜を積層して、すなわ
ちポリサイド構造のゲート電極を構成して、更なる低抵
抗化を図っている。
Since the polysilicon film 3 is a semiconductor, there is a limit in reducing the resistance even if phosphorus is doped. Accordingly, by laminating a metal silicide film of tungsten silicide (WSi X), etc. which is a compound of a refractory metal and silicon such as tungsten, on the polysilicon film 3, that is, constituting the gate electrode of the polycide structure, further Low resistance.

【0005】WSiX 膜は、ポリシリコン膜3の上に形
成された第1のWSiX 膜4とこの上に形成された第2
のWSiX 膜5とから成る。第1のWSiX 膜4は、例
えば、基板温度595℃、圧力133Pa、供給するガ
ス及びその流量、SiH2 Cl2 /WF6 =300sc
cm/3sccmでCVD法により、膜厚約10nmに
成膜する。この第1のWSiX 膜4におけるWとSiと
の組成比は、Si/W=3.0となっている。第2のW
SiX 膜5は、例えば、基板温度595℃、圧力133
Pa、供給するガス及びその流量、SiH2 Cl2 /W
6 =100sccm/3.6sccmでCVD法によ
り、膜厚約90nmに成膜する。この第2のWSiX
5におけるWとSiとの組成比は、Si/W=2.6と
なっている。
The WSi x film includes a first WSi x film 4 formed on the polysilicon film 3 and a second WSi x film 4 formed on the first WSi x film 4.
WSi x film 5. For example, the first WSi x film 4 has a substrate temperature of 595 ° C., a pressure of 133 Pa, a supplied gas and its flow rate, and SiH 2 Cl 2 / WF 6 = 300 sc.
A film is formed at a film thickness of about 10 nm by a CVD method at cm / 3 sccm. The composition ratio of W and Si in the first WSi X film 4 has a Si / W = 3.0. Second W
The Si x film 5 is formed, for example, at a substrate temperature of 595 ° C. and a pressure of 133.
Pa, supplied gas and its flow rate, SiH 2 Cl 2 / W
A film is formed at a thickness of about 90 nm by a CVD method at F 6 = 100 sccm / 3.6 sccm. The composition ratio of W and Si in the second WSi X film 5 has a Si / W = 2.6.

【0006】SiH2 Cl2 還元で成膜するWSiX
の場合、SiH4 還元で成膜したWSiX 膜と異なり、
膜厚方向の組成比に傾斜を持っており、ポリシリコン膜
3との界面でSi量は少なく、表面になるに従いSi量
は多くなる。下地のポリシリコン膜3との界面にWが多
く含まれる層(Wリッチ層)が形成されてしまうと、そ
の後の熱処理工程で異常酸化(酸化タングステンの形
成)が発生してしまう。これは、熱処理によるWSiX
膜中のSiのポリシリコン膜3への拡散で、界面におけ
るSi含有量は減少するが、成膜後の状態ですでに界面
がWリッチ層であると、Wが酸化され体積変化を起こ
し、良好な形状のポリサイドゲートが得られない。これ
を防止するためにポリシリコン膜3との界面には通常よ
りもSi/W組成比が大きい第1のWSiX 膜4、つま
りSiリッチ層を形成させている。
[0006] In the case of WSi X film to be formed in the SiH 2 Cl 2 reduction, unlike the WSi X film formed by SiH 4 reduction,
The composition ratio in the film thickness direction has a gradient, the amount of Si is small at the interface with the polysilicon film 3, and the amount of Si increases toward the surface. If a layer containing a large amount of W (W-rich layer) is formed at the interface with the underlying polysilicon film 3, abnormal oxidation (formation of tungsten oxide) occurs in the subsequent heat treatment step. This is due to the heat treatment of WSi x
The diffusion of Si in the film into the polysilicon film 3 reduces the Si content at the interface. However, if the interface is already a W-rich layer in the state after film formation, W is oxidized to cause a volume change, A good-shaped polycide gate cannot be obtained. And interface the first WSi X film 4 Si / W composition ratio than normal is large, i.e. to form a Si-rich layer between the polysilicon film 3 in order to prevent this.

【0007】また、これら第1及び第2のWSiX
4、5のCVD法による形成には、ソースガスとしてS
iH2 Cl2 とWF6 を用いているが、これはSiH4
とWF 6 を用いて形成した場合に比べて、形成されるW
SiX 膜4、5中に含まれるFの含有量を少なくするこ
とができる。WSiX 膜4、5中のFの含有量が多い
と、その後の熱処理によりFがゲート酸化膜2へと拡散
し、ゲート酸化膜2中のSiとOの結合を切って、Si
−F結合をとるようになる。これによりゲート酸化膜2
の膜質の低下、ゲート容量低下、耐圧の低下などを引き
起こす。
In addition, the first and second WSiX film
For the formation by CVD method 4 and 5, S is used as a source gas.
iHTwo ClTwo And WF6 Which is SiHFour 
And WF 6 W formed as compared with the case where it is formed using
SiX To reduce the content of F contained in the films 4 and 5
Can be. WSiX High content of F in films 4 and 5
F diffuses into the gate oxide film 2 by the subsequent heat treatment
Then, the bond between Si and O in the gate oxide film 2 is cut off,
-F bond is taken. Thereby, the gate oxide film 2
Film quality, gate capacitance, breakdown voltage, etc.
Wake up.

【0008】次に、図4Bに示されるように、第2のW
SiX 膜5の上にSiO2 膜6を成膜する。この成膜
は、例えばCVD法により、基板温度700℃、圧力5
0Pa、供給するガス及びその流量はTEOS[Si
(OCH2 CH34 ]を130sccmで、膜厚約2
50nmで成膜する。後の工程でゲート電極を形成する
ためのフォトリソグラフィ時に、このSiO2 膜6がな
いと、第2のWSiX 膜5の表面荒さの影響で線幅が太
くなったり、細くなったりしてしまうので、段差被覆性
に極めて優れたSiO2 膜(特にTEOSで成膜される
もの)を形成させることで、フォトリソグラフィ時の露
光精度を向上させることができる。又、ゲート加工時の
無機マスクとしても使用し、加工性も向上する。又、S
iO2 膜6を成膜した場合、その下層のWSiX 膜はす
でにSiを拡散しWSiX 膜4、5の組成はほぼ同等と
なり単一膜となっている。更に、SiはWSiX 膜の界
面であるポリシリコン膜3、LP−TEOS(SiO2
膜6)界面に析出し、WSiX膜自体のSi組成比は低
下している。
Next, as shown in FIG. 4B, the second W
An SiO 2 film 6 is formed on the Si X film 5. This film is formed, for example, by a CVD method at a substrate temperature of 700 ° C. and a pressure of 5 ° C.
0 Pa, the supplied gas and its flow rate were TEOS [Si
(OCH 2 CH 3 ) 4 ] at 130 sccm and a film thickness of about 2
A film is formed at a thickness of 50 nm. Step during photolithography for forming a gate electrode in a later Without this SiO 2 film 6, or the line width becomes thick due to the influence of the surface roughness of the second WSi X film 5, resulting in or thinner Therefore, by forming an SiO 2 film having excellent step coverage (particularly, a film formed by TEOS), the exposure accuracy at the time of photolithography can be improved. Also, it is used as an inorganic mask at the time of gate processing, and the workability is also improved. Also, S
When depositing the iO 2 film 6, the composition of the underlying WSi X film already diffused Si WSi X film 4,5 are substantially equal to be a single film. Furthermore, Si is a polysilicon film 3 is the interface of WSi X film, LP-TEOS (SiO 2
Film 6) deposited at the interface, Si composition ratio of WSi X film itself is decreased.

【0009】この後、図示は省略するが、SiO2 膜6
の上にフォトレジストを塗布し、露光、現像により、図
4Cに示すようにレジストパターン7を形成する。
Thereafter, although not shown, the SiO 2 film 6
A photoresist is applied on the substrate, and exposure and development are performed to form a resist pattern 7 as shown in FIG. 4C.

【0010】そして、このレジストパターン7をマスク
として、SiO2 膜6、第1及び第2のWSiX 膜4、
5、ポリシリコン膜3、ゲート酸化膜2をエッチング
し、レジストパターン7を剥離して、図4Dに示される
ゲート電極11が形成される。
[0010] Then, the resist pattern 7 as a mask, SiO 2 film 6, first and second WSi X film 4,
5, the polysilicon film 3 and the gate oxide film 2 are etched and the resist pattern 7 is stripped to form the gate electrode 11 shown in FIG. 4D.

【0011】[0011]

【発明が解決しようとする課題】この後、ゲート電極1
1が、例えば、ソース・ドレイン拡散層を形成するイオ
ン注入時の保護膜や層間絶縁膜などのSiO2 膜を成膜
する際の高温(例えば700℃以上)酸化雰囲気に曝さ
れると、WSiX 膜の異常酸化を引き起こす。これは、
前工程である図4Bで示したSiO2 膜6の形成は、7
00℃での熱処理であり、このとき第1及び第2のWS
X 膜4、5中のSiは拡散してWSi中のSi/Wが
低下する。
After this, the gate electrode 1
1 is exposed to a high-temperature (for example, 700 ° C. or higher) oxidizing atmosphere at the time of forming a SiO 2 film such as a protective film or an interlayer insulating film at the time of ion implantation for forming source / drain diffusion layers. Causes abnormal oxidation of the X film. this is,
The formation of the SiO 2 film 6 shown in FIG.
This is a heat treatment at 00 ° C., in which the first and second WS
Si in i X films 4 and 5 is Si / W in the WSi diffuse decreases.

【0012】このSi含有量の少ないWSiX 膜5に、
上述したような高温酸化雰囲気での熱処理がかかると、
その分より多くのWが酸化される。Wの酸化物は単体の
Wと比べて体積が大きく、よって酸化タングステンが生
成することに伴う体積変化によって、図4Eの符号8a
で示されるように、WSiX 膜の形状が悪化する。な
お、第1及び第2のWSiX 膜4、5はこのときの熱処
理でSi/Wがほぼ一様なWSiX 膜8に単層化され
る。また、図4Eにおいて、高温酸化雰囲気での熱処理
によって形成される例えばイオン注入用の保護膜等の図
示は省略している。
The WSi x film 5 having a low Si content has
When the heat treatment in a high-temperature oxidizing atmosphere as described above is applied,
More W is oxidized. The oxide of W has a larger volume than that of simple W, and thus the volume change accompanying the formation of tungsten oxide causes the oxide 8a in FIG.
In As shown, the shape of WSi X film deteriorates. The first and second WSi X film 4 and 5 Si / W in the heat treatment at this time is a single layer of substantially uniform WSi X film 8. Also, in FIG. 4E, illustration of, for example, a protective film for ion implantation formed by heat treatment in a high-temperature oxidizing atmosphere is omitted.

【0013】このWSiX 膜8の形状悪化、つまりゲー
ト電極11の形状の変形は、近年の高集積化に伴う精密
な寸法精度の要求を満たすことができない。また、酸化
タングステンを多く含んだWSiX 膜は抵抗が増大す
る。このように異常酸化の発生はトランジスタ特性の低
下と歩留りの低下を引き起こす。
The deterioration of the shape of the WSi x film 8, that is, the deformation of the shape of the gate electrode 11, cannot satisfy the demand for precise dimensional accuracy accompanying the recent high integration. Further, WSi X film contains much tungsten oxide resistance increases. Thus, the occurrence of abnormal oxidation causes a decrease in transistor characteristics and a decrease in yield.

【0014】また、他従来例として、図4Dで示すゲー
ト電極11の形成後に、例えば基板温度800℃、N2
ガス雰囲気中で30分、WSiX 膜の結晶性を改善する
目的でアニール処理を行う方法があり、これにより異常
酸化を防げる。しかし、これは図4Aで示すWSiX
5の形成後に、例えば600℃以上の熱処理を何ら行わ
ないことが前提となっている。すなわち、ゲート電極1
1の形成後だと、アニール処理により、SiはWSiX
膜5の側面にも拡散し、従って、その後に高温酸化雰囲
気に曝されても側面に拡散してきたSiが酸化されるの
で、Wの酸化を抑えることができ異常酸化は発生しな
い。しかし、図4Bで示されるようなSiO2 膜6の成
膜という熱処理がゲート電極11の形成前に行われる
と、このときの熱処理でSiはすでに膜厚方向へと拡散
してしまい、WSiX 膜5中のSi/Wは低下する。よ
って、ゲート電極11の形成後にアニール処理を行って
も異常酸化を抑制することはできない。
Further, as another conventional example, after the formation of the gate electrode 11 shown in FIG. 4D, for example, a substrate temperature of 800 ° C., N 2
30 minutes in a gas atmosphere, there is a method of performing an annealing treatment in order to improve the crystallinity of WSi X film, thereby prevent the abnormal oxidation. However, this is after the formation of WSi X film 5 shown in FIG. 4A, it is not performed any example 600 ° C. or more heat-treated has been assumed. That is, the gate electrode 1
After the formation of No. 1, Si is converted to WSi x by annealing.
Since the Si is diffused also to the side surface of the film 5 and thus, even if exposed to a high-temperature oxidizing atmosphere, the Si diffused to the side surface is oxidized, so that the oxidation of W can be suppressed and abnormal oxidation does not occur. However, if the heat treatment for forming the SiO 2 film 6 as shown in FIG. 4B is performed before the formation of the gate electrode 11, Si is already diffused in the film thickness direction by the heat treatment at this time, and WSi x Si / W in the film 5 decreases. Therefore, even if annealing is performed after the formation of the gate electrode 11, abnormal oxidation cannot be suppressed.

【0015】また、特開平8−191102号公報に
は、WSiX 膜の下又は上にアモルファスシリコン膜を
形成させて、熱処理時にアモルファスシリコン膜からS
iがWSiX 膜に供給されてWSiX 膜の異常酸化を防
ぐことが示されている。更に、特開平8−78411号
公報には、WSiX 膜の上に窒素を含有したSiO2
を形成させてこの膜中のSi−N2 結合層で、酸化種が
WSiX 膜へ達するのを防ぐようにしている。しかし、
これらは、アモルファスシリコン膜や窒素を含有したS
iO2 膜を形成させなければならず、工程数の増加及び
製造コスト高となる。
Further, JP-A-8-191102, by forming an amorphous silicon film on the bottom or WSi X film, S an amorphous silicon film during thermal treatment
i is shown to be supplied to the WSi X film prevents abnormal oxidation of WSi X film. Further, JP-A-8-78411, in Si-N 2 bond layers of the film to form a SiO 2 film containing nitrogen on the WSi X film, the oxidizing species reaching the WSi X film Try to prevent. But,
These include amorphous silicon films and nitrogen-containing S
An iO 2 film must be formed, which increases the number of steps and increases manufacturing costs.

【0016】本発明は上述の問題に鑑みてなされ、半導
体基板上に形成される金属シリサイド膜の異常酸化を何
ら新たな膜を形成させることなく抑止することのできる
半導体装置の製造方法を提供することを課題とする。
The present invention has been made in view of the above problems, and provides a method of manufacturing a semiconductor device capable of suppressing abnormal oxidation of a metal silicide film formed on a semiconductor substrate without forming any new film. That is the task.

【0017】[0017]

【課題を解決するための手段】以上の課題を解決するに
あたり、本発明では、半導体基板上に金属シリサイド膜
を形成した後、SiXY ClZ (X、Y、Zは各元素
の組成比)雰囲気中でアニール処理を行うことにより、
金属シリサイド膜の結晶性を改善し、かつSi XY
Z ガス中のSiが金属シリサイド膜に供給されるの
で、成膜後の金属シリサイド膜のSi含有量を多くする
ことができる。これにより、以後の熱処理を経て高温酸
素雰囲気に曝されても金属シリサイド膜には異常酸化が
発生しない。
[Means for Solving the Problems] To solve the above problems
According to the present invention, a metal silicide film is formed on a semiconductor substrate.
After formingX HY ClZ (X, Y, and Z are each element
By performing the annealing treatment in the atmosphere,
Improve the crystallinity of the metal silicide film and X HY C
lZ The Si in the gas is supplied to the metal silicide film
To increase the Si content of the metal silicide film after film formation
be able to. As a result, high-temperature acid
Abnormal oxidation of metal silicide film even when exposed to
Does not occur.

【0018】[0018]

【発明の実施の形態】以下、本発明の実施の形態につい
て図1〜3を参照して説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the present invention will be described below with reference to FIGS.

【0019】図1〜3は、本実施の形態による、金属シ
リサイド膜を用いた半導体装置の製造方法を説明する模
式断面図であり、従来例と同様に半導体装置としては例
えばMOSトランジスタであり、そのゲート電極の形成
工程を示す。
1 to 3 are schematic cross-sectional views for explaining a method of manufacturing a semiconductor device using a metal silicide film according to the present embodiment. As in the conventional example, the semiconductor device is, for example, a MOS transistor. The step of forming the gate electrode will be described.

【0020】図1Aは、上記で説明した従来例の図4A
と全く同一の構成となっている。すなわち、Si基板1
の表面上にゲート酸化膜(SiO2 膜)2が、膜厚約5
nmで成膜される。そして、ゲート酸化膜2の上に、例
えばリンがドープされたポリシリコン膜3を、以下に示
す条件でのCVD法で約100nm成膜されている。そ
の条件は、基板温度620℃、処理室内圧力10.6k
Pa、ゲート酸化膜2上に供給するガス及びそれぞれの
流量は、SiH4 /H2 /PH3 =0.45slm/1
0slm/20sccm、である。
FIG. 1A shows the conventional example of FIG. 4A described above.
It has exactly the same configuration as. That is, the Si substrate 1
A gate oxide film (SiO 2 film) 2 on the surface of
nm. A polysilicon film 3 doped with, for example, phosphorus is formed on the gate oxide film 2 by a CVD method under the following conditions to a thickness of about 100 nm. The conditions are a substrate temperature of 620 ° C. and a processing chamber pressure of 10.6 k.
Pa, the gas supplied on the gate oxide film 2 and the respective flow rates are SiH 4 / H 2 / PH 3 = 0.45 slm / 1.
0 slm / 20 sccm.

【0021】次に、ポリシリコン膜3の上に低抵抗化を
目的としてWSiX 膜4、5を成膜して、すなわちポリ
サイド構造のゲート電極を構成している。ポリシリコン
膜3の上に形成された第1のWSiX 膜4は、例えば、
基板温度595℃、圧力133Pa、供給するガス及び
その流量、SiH2 Cl2 /WF6 =300sccm/
3sccmでCVD法により、膜厚約10nmに成膜さ
れる。この第1のWSiX 膜4におけるWとSiとの組
成比は、Si/W=3.0となっている。第1のWSi
X 膜4の上に形成される第2のWSiX 膜5は、例え
ば、基板温度595℃、圧力133Pa、供給するガス
及びその流量、SiH2 Cl2 /WF6 =100scc
m/3.6sccmでCVD法により、膜厚約90nm
に成膜する。この第2のWSiX 膜5におけるWとSi
との組成比は、Si/W=2.6となっている。
Next, by forming a WSi X film 4,5 purposes low resistance on the polysilicon film 3, i.e., constitute a gate electrode of the polycide structure. First WSi X film 4 formed on the polysilicon film 3, for example,
Substrate temperature 595 ° C., pressure 133 Pa, supplied gas and its flow rate, SiH 2 Cl 2 / WF 6 = 300 sccm /
A film is formed to a thickness of about 10 nm by a CVD method at 3 sccm. The composition ratio of W and Si in the first WSi X film 4 has a Si / W = 3.0. First WSi
The second WSi X film 5 formed on the X film 4 has, for example, a substrate temperature of 595 ° C., a pressure of 133 Pa, a supplied gas and its flow rate, and SiH 2 Cl 2 / WF 6 = 100 scc.
The thickness is about 90 nm by the CVD method at m / 3.6 sccm.
Is formed. W and Si in the second WSi X film 5
Is Si / W = 2.6.

【0022】従来技術の説明で詳述したが、通常のSi
/W組成比の第2のWSiX 膜5の下に、Siリッチな
第1のWSiX 膜4を形成させているのは、ポリシリコ
ン膜3との界面にWが多く含まれる層(Wリッチ層)が
形成されることによる、その後の熱処理工程での異常酸
化(酸化タングステンの形成)の発生を防止するためで
ある。
As described in detail in the description of the prior art, ordinary Si
The first WSi x film 4 rich in Si is formed under the second WSi x film 5 having the / W composition ratio because a layer containing a large amount of W at the interface with the polysilicon film 3 (W This is to prevent the occurrence of abnormal oxidation (formation of tungsten oxide) in the subsequent heat treatment step due to the formation of the (rich layer).

【0023】また、やはりこれら第1及び第2のWSi
X 膜4、5のCVD法による形成には、ソースガスとし
てSiH2 Cl2 とWF6 を用いているが、これも形成
されるWSiX 膜4、5中に含まれるFの含有量を少な
くして、Fが拡散してゲート酸化膜2の膜質と耐圧の低
下を防ぐようにしている。
The first and second WSis
In forming the X films 4 and 5 by the CVD method, SiH 2 Cl 2 and WF 6 are used as source gases, but this also reduces the content of F contained in the formed WSi X films 4 and 5. Then, the diffusion of F prevents the quality of the gate oxide film 2 and the breakdown voltage from being lowered.

【0024】次に、本実施の形態では、WSiX 膜4、
5を形成した同一の処理室内で、SiH2 Cl2 雰囲気
中でアニール処理を行う。基板温度は625℃、処理室
内圧力133Pa、SiH2 Cl2 ガスの供給量100
sccmの条件で行う。これは、WSiX 膜4、5の形
成に用いたSiH2 Cl2 と同じガス雰囲気で、圧力も
同じ条件であり、すなわち基板温度のみを変えるので同
一の処理室内でそのままアニール処理を行うことができ
る。このアニール処理により、WSiX 膜4、5中のS
iは拡散を起こすが、SiH2 Cl2 中のSiがWSi
X 膜5中へ供給されるので、Si/Wの組成比を低下さ
せることはない。また、このアニール処理により、WS
X 膜4、5の形成直後の非結晶状態又は六方晶状態
を、これ以上結晶変化をしない安定で、かつより低抵抗
の正方晶へと改善させる。つまり、図1Bに示すよう
に、SiH2 Cl2 雰囲気中でのアニール処理により、
第2のWSiX 膜5は、Si含有量の多いかつ正方晶構
造のWSiX 膜5’になる。
Next, in the present embodiment, the WSi x film 4,
Annealing is performed in a SiH 2 Cl 2 atmosphere in the same processing chamber where 5 is formed. The substrate temperature is 625 ° C., the processing chamber pressure is 133 Pa, and the supply amount of the SiH 2 Cl 2 gas is 100.
This is performed under the condition of sccm. This is the same gas atmosphere and the same pressure as the SiH 2 Cl 2 used for forming the WSi x films 4 and 5. That is, since only the substrate temperature is changed, the annealing treatment can be performed in the same processing chamber as it is. it can. By this annealing treatment, S in the WSi x films 4 and 5
i causes diffusion, but Si in SiH 2 Cl 2 becomes WSi
Since it is supplied into the X film 5, the composition ratio of Si / W does not decrease. In addition, the WS treatment allows the WS
The non-crystalline state or hexagonal state immediately after formation of the i X films 4 and 5, more crystals change in the non stable, and be more improved to tetragonal low resistance. That is, as shown in FIG. 1B, the annealing treatment in the SiH 2 Cl 2 atmosphere
Second WSi X film 5 will WSi X film 5 'of more and tetragonal structure with Si content.

【0025】次に、図2Aに示されるように、上述のア
ニール処理を受けた第2のWSiX膜5’の上に、従来
と同様に、後の工程でゲート電極を形成するためのフォ
トリソグラフィ時の露光精度を向上させるためにSiO
2 膜6を成膜する。この成膜は、例えばCVD法によ
り、基板温度700℃、圧力50Pa、供給するガス及
びその流量はTEOS[Si(OCH2 CH34 ]を
130sccmで、膜厚約250nmで成膜する。
Next, as shown in FIG. 2A, a photo for forming a gate electrode in a later step is formed on the second WSi x film 5 ′ which has been subjected to the above-described annealing treatment, similarly to the conventional case. SiO to improve exposure accuracy during lithography
Two films 6 are formed. This film is formed by, for example, a CVD method at a substrate temperature of 700 ° C., a pressure of 50 Pa, a supplied gas and a flow rate of TEOS [Si (OCH 2 CH 3 ) 4 ] of 130 sccm, and a film thickness of about 250 nm.

【0026】この後、図示は省略するが、SiO2 膜6
の上にフォトレジストを塗布し、露光、現像により、図
2Bに示すようにレジストパターン7を形成する。
Thereafter, although not shown, the SiO 2 film 6
A photoresist is coated on the substrate, and a resist pattern 7 is formed by exposure and development as shown in FIG. 2B.

【0027】そして、このレジストパターン7をマスク
として、SiO2 膜6、WSiX 膜4、5’、ポリシリ
コン膜3、ゲート酸化膜2をエッチングし、レジストパ
ターン7を剥離して、図3Aに示されるゲート電極10
が形成される。例えば、WSiX 膜4、5’のエッチン
グはプラズマエッチングで行われ、処理室内圧力1.8
mTorr、高周波電力RF=600W、エッチングガ
スCl2 を25sccmで供給して行う。ポリシリコン
膜3は、例えば、エッチングガスCl2 =30scc
m、HBr=30sccm、圧力2.0mTorr、高
周波電力RF=600Wのプラズマエッチングで行われ
る。
[0027] Then, the resist pattern 7 as a mask, SiO 2 film 6, WSi X film 4,5 ', the polysilicon film 3, a gate oxide film 2 is etched, and the resist pattern is removed 7, Figure 3A Gate electrode 10 shown
Is formed. For example, the etching of the WSi x films 4, 5 ′ is performed by plasma etching, and the pressure in the processing chamber is 1.8.
mTorr, high-frequency power RF = 600 W, and etching gas Cl 2 are supplied at 25 sccm. The polysilicon film 3 is formed, for example, by etching gas Cl 2 = 30 scc.
m, HBr = 30 sccm, pressure 2.0 mTorr, high frequency power RF = 600 W plasma etching.

【0028】以上のようにして、形成された本実施の形
態によるゲート電極10に、この後、ゲート電極10
が、例えば、ソース・ドレイン拡散層を形成するイオン
注入時の保護膜や層間絶縁膜などのSiO2 膜を成膜す
る際の高温(例えば700℃以上)酸素雰囲気に曝され
てもWSiX 膜の異常酸化は発生しない。これを図3B
に示す。第1及び第2のWSiX 膜4、5’はこのとき
の熱処理でSi/Wがほぼ一様なWSiX 膜9に単層化
されている。なお、イオン注入用の保護膜等の図示は省
略している。
The gate electrode 10 according to the present embodiment formed as described above is thereafter
But, for example, a high temperature when forming the SiO 2 film such as a protective film or an interlayer insulating film in ion implantation for forming the source-drain diffusion layer (for example 700 ° C. or higher) WSi X film even when exposed to an oxygen atmosphere No abnormal oxidation occurs. This is shown in FIG.
Shown in The first and second WSi x films 4 and 5 ′ are monolayered into a WSi x film 9 having substantially uniform Si / W by the heat treatment at this time. The illustration of a protective film for ion implantation and the like is omitted.

【0029】すなわち、図1Bに示す、SiH2 Cl2
雰囲気中でのアニール処理により、WSiX 膜9はSi
含有量の多い膜、かつ安定な正方晶構造の中にSiがし
っかりと取り入れられているので、Siが酸化されるこ
とにより、Wの酸化を抑制して異常酸化を防いでいる。
これにより、ゲート電極10の形状の変形を防ぐことが
でき、また抵抗も増大することはなく、トランジスタ特
性の低下と歩留りの低下を防ぐことができる。
That is, as shown in FIG. 1B, SiH 2 Cl 2
By the annealing treatment in the atmosphere, the WSi x film 9 becomes Si
Since Si is firmly incorporated into the film having a large content and the stable tetragonal structure, the oxidation of Si suppresses the oxidation of W to prevent abnormal oxidation.
Thus, the deformation of the shape of the gate electrode 10 can be prevented, the resistance does not increase, and a decrease in transistor characteristics and a decrease in yield can be prevented.

【0030】以上、本発明の実施の形態について説明し
たが、勿論、本発明はこれに限定されることなく、本発
明の技術的思想に基づいて種々の変形が可能である。
Although the embodiment of the present invention has been described above, the present invention is, of course, not limited to this, and various modifications can be made based on the technical idea of the present invention.

【0031】以上の実施の形態では、SiH2 Cl2
囲気中でアニール処理を行ったが、これに限ることな
く、SiXY ClZ の化学式で表される他の化合物、
例えば、SiH4 、SiH2 Cl2 、Si26 、Si
38 、SiCl4 、SiHCl3 、SiH3 Cl等で
も良い。また、WSiX 膜の形成時にWF6 と共に用い
るガスもSiH2 Cl2 に限ることなく、これらアニー
ル処理を行う時のガスと対応させて、同じガスを用いれ
ば、同一の処理室内でWSiX 膜の形成とアニール処理
を行うことができ、手間がかからず迅速な処理を行え
る。
In the above embodiment, the annealing is performed in the SiH 2 Cl 2 atmosphere. However, the present invention is not limited to this, and other compounds represented by the chemical formula of Si X H Y Cl Z may be used.
For example, SiH 4 , SiH 2 Cl 2 , Si 2 H 6 , Si
3 H 8 , SiCl 4 , SiHCl 3 , SiH 3 Cl or the like may be used. The gas used with WF 6 in forming the WSi X film is also not limited to SiH 2 Cl 2, in correspondence with the gas when performing these annealing, using the same gas, WSi X film in the same processing chamber Can be formed and an annealing treatment can be performed.

【0032】また、以上の実施の形態では、WSiX
を2層に分けて成膜したが、これに限ることなく、WS
X 膜形成時に用いるソースガスの種類や基板の温度に
よっては単層で成膜させてもよい。
Further, in the above embodiment has been formed by dividing the WSi X film in two layers, not limited to this, WS
i may be deposited in a single layer by X film formed at the temperature of the source gas type of the substrate used in.

【0033】また、以上の実施の形態では、WSiX
とポリシリコン膜とからなるポリサイド構造のゲートと
したが、これに限ることなく、ゲート酸化膜の上に直接
WSiX 膜を形成させたシリサイドゲートとしてもよ
い。
Further, in the above embodiment, although the gate of polycide structure composed of a WSi X film and the polysilicon film is not limited to this, to form a direct WSi X film on the gate oxide film A silicide gate may be used.

【0034】また、以上の実施の形態では、金属シリサ
イド膜としてのWSiX 膜はゲート電極材料に用いられ
ているが、これに限ることなく、例えば、コンタクトホ
ールを埋める配線の材料に用いるようにしてもよい。
Further, in the above embodiment, WSi X film as the metal silicide film is used in the gate electrode material is not limited to this, for example, as used in the material of the wiring that fills the contact hole You may.

【0035】また、以上の実施の形態では、金属シリサ
イド膜としてWSiX 膜のみを示したが、これに限るこ
となく、他の金属シリサイド、例えば特に高融点金属の
TiSi2 、MoSi2 などでも良い。しかし、TiS
2 、MoSi2 はCVD技術の適用による成膜が難し
く、WSiX 膜をCVD法で成膜したときのような良好
な段差被覆性、膜質の制御性が得られない。
Further, in the above embodiments, although the only WSi X film as the metal silicide film is not limited to this, other metal silicide, for example in particular be such as TiSi 2, MoSi 2 refractory metal . However, TiS
i 2, MoSi 2 is difficult to film formation by application of CVD technology, good step coverage, such as when forming the WSi X film by the CVD method, it is not obtained control of the film quality.

【0036】また、以上の実施の形態では、ゲート電極
形成前の熱処理工程としてSiO2膜6の成膜を示した
が、これに限ることなく、SiO2 膜以外の膜の形成、
あるいは、ゲート酸化膜2、ポリシリコン膜3、WSi
X 膜4、5の膜質を改善するための何らかの熱処理とし
ても良い。
Further, in the above embodiment, although the formation of the SiO 2 film 6 as a heat treatment step before the gate electrode formation is not limited to this, formation of the film other than SiO 2 film,
Alternatively, the gate oxide film 2, the polysilicon film 3, the WSi
Some heat treatment for improving the film quality of the X films 4 and 5 may be performed.

【0037】[0037]

【発明の効果】以上述べたように、本発明の請求項1に
よる半導体装置の製造方法によれば、Si含有量の多い
金属シリサイド膜を形成させることができ、金属シリサ
イド膜の異常酸化を抑制できる。
As described above, according to the method of manufacturing a semiconductor device according to the first aspect of the present invention, a metal silicide film having a high Si content can be formed, and abnormal oxidation of the metal silicide film is suppressed. it can.

【0038】また、本発明の請求項2による半導体装置
の製造方法によれば、手間と時間をかけることなく迅速
なアニール処理を行うことができる。
Further, according to the method of manufacturing a semiconductor device according to the second aspect of the present invention, a quick annealing process can be performed without taking much time and effort.

【0039】また、本発明の請求項3による半導体装置
の製造方法によれば、金属シリサイド膜にフォトリソグ
ラフィを行う場合、その露光精度を良くすることができ
る。
According to the method of manufacturing a semiconductor device according to the third aspect of the present invention, when photolithography is performed on a metal silicide film, the exposure accuracy can be improved.

【0040】また、本発明の請求項4による半導体装置
の製造方法によれば、低抵抗のゲート電極を製造するこ
とができる。
Further, according to the method of manufacturing a semiconductor device according to the fourth aspect of the present invention, a low-resistance gate electrode can be manufactured.

【0041】また、本発明の請求項5による半導体装置
の製造方法によれば、段差被覆性と膜質の制御性に優れ
た金属シリサイド膜を形成させることができる。
Further, according to the method of manufacturing a semiconductor device according to the fifth aspect of the present invention, it is possible to form a metal silicide film excellent in step coverage and controllability of film quality.

【0042】また、本発明の請求項6による半導体装置
の製造方法によれば、金属シリサイド膜の下地との境界
に発生する異常酸化を防ぐことができる。
According to the method of manufacturing a semiconductor device according to the sixth aspect of the present invention, abnormal oxidation occurring at the boundary between the metal silicide film and the underlayer can be prevented.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態による半導体装置の製造方
法を説明する模式断面図(その1)であり、AはWSi
X 膜形成後の状態を示し、BはAのWSiX 膜にSiH
2 Cl2 雰囲気中でアニール処理を行う工程を示す。
FIG. 1 shows a method for manufacturing a semiconductor device according to an embodiment of the present invention.
FIG. 1 is a schematic cross-sectional view (part 1) for explaining a method, in which A denotes WSi
X The state after film formation is shown, where B is the WSi of AX SiH film
Two ClTwo 4 shows a step of performing an annealing process in an atmosphere.

【図2】本発明の実施の形態による半導体装置の製造方
法を説明する模式断面図(その2)であり、Aはアニー
ル処理が施されたWSiX 膜の上にSiO2 膜が形成さ
れた状態を示し、BはSiO2 膜の上にレジストパター
ンが形成された状態を示す。
Schematic cross-sectional views illustrating a method of manufacturing a semiconductor device according to the embodiment of the present invention; FIG an (Part 2), A is SiO 2 film is formed on the WSi X film annealing process has been performed B indicates a state where a resist pattern is formed on the SiO 2 film.

【図3】本発明の実施の形態による半導体装置の製造方
法を説明する模式断面図(その3)であり、Aはエッチ
ングによりゲート電極が形成された状態を示し、BはA
の状態のゲート電極に酸素雰囲気中で熱処理を施した後
のゲート電極を示す。
FIG. 3 is a schematic cross-sectional view (part 3) illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention, wherein A shows a state where a gate electrode is formed by etching, and B shows A
Shows the gate electrode after heat treatment is performed on the gate electrode in the oxygen atmosphere.

【図4】従来例の半導体装置の製造方法を説明する模式
断面図であり、AはWSiX 膜形成後の状態を示し、B
はWSiX 膜の上にSiO2 膜が形成された状態を示
し、CはSiO2 膜の上にレジストパターンが形成され
た状態を示し、Dはエッチングによりゲート電極が形成
された状態を示し、EはDの状態のゲート電極に酸素雰
囲気中で熱処理を施した後のゲート電極であり、WSi
X 膜が異常酸化したことを示す。
Figure 4 is a schematic cross-sectional views illustrating a method of manufacturing a conventional semiconductor device, A is shows a state after the WSi X film, B
Shows a state where the SiO 2 film is formed on the WSi X film, C is shows a state in which the resist pattern is formed on the SiO 2 film, D is shows a state in which the gate electrode is formed by etching, E denotes a gate electrode obtained by subjecting the gate electrode in the state of D to heat treatment in an oxygen atmosphere.
Indicates that the X film was abnormally oxidized.

【符号の説明】[Explanation of symbols]

1……Si基板、2……ゲート酸化膜、3……ポリシリ
コン膜、4……WSi X 膜、5……WSiX 膜、5’…
…WSiX 膜。
 1 ... Si substrate, 2 ... Gate oxide film, 3 ... Polysilicon
Con film, 4 ... WSi X Film, 5 ... WSiX Membrane, 5 '...
... WSiX film.

フロントページの続き Fターム(参考) 4M104 AA01 BB01 BB37 BB38 BB40 CC05 DD43 DD65 DD78 FF14 GG09 HH18 5F004 AA16 BA04 BB13 DA00 DA04 DB02 DB03 DB15 DB17 EB02 5F033 HH04 HH27 HH28 HH29 LL06 LL09 MM07 PP03 PP04 PP09 PP33 QQ01 QQ08 QQ09 QQ10 QQ12 QQ73 QQ84 QQ85 QQ98 RR04 SS04 SS13 VV06 WW10 XX20 5F040 DA00 DA06 DA19 DC01 EC01 EC02 EC04 EC07 EC09 EC13 EJ03 FC00 Continued on front page F-term (reference) 4M104 AA01 BB01 BB37 BB38 BB40 CC05 DD43 DD65 DD78 FF14 GG09 HH18 5F004 AA16 BA04 BB13 DA00 DA04 DB02 DB03 DB15 DB17 EB02 5F033 HH04 HH27 HH28 HH29 LL06 Q09Q09 Q09 PP08 QQ73 QQ84 QQ85 QQ98 RR04 SS04 SS13 VV06 WW10 XX20 5F040 DA00 DA06 DA19 DC01 EC01 EC02 EC04 EC07 EC09 EC13 EJ03 FC00

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板上に金属シリサイド膜を形成
する工程の後に、熱処理工程を有する半導体装置の製造
方法において、 前記金属シリサイド膜の形成後に、SiXY ClZ
(X、Y、Zは各元素の組成比)雰囲気中でアニール処
理を行った後、前記熱処理工程を行うようにしたことを
特徴とする半導体装置の製造方法。
To 1. A semiconductor substrate after the step of forming a metal silicide film, method of manufacturing a semiconductor device having a heat treatment step, after formation of the metal silicide layer, Si X H Y Cl Z
(X, Y, Z: composition ratio of each element) A method of manufacturing a semiconductor device, wherein an annealing process is performed in an atmosphere, and then the heat treatment process is performed.
【請求項2】 前記金属シリサイド膜の形成にSiX
Y ClZ を用いて、この金属シリサイド膜の形成を行っ
た処理室内で、このSiXY ClZ 雰囲気中で前記ア
ニール処理を行うようにしたことを特徴とする請求項1
に記載の半導体装置の製造方法。
2. The method according to claim 1, wherein said metal silicide film is formed using Si x H.
2. The annealing process according to claim 1, wherein the annealing process is performed in the Si X H Y Cl Z atmosphere in a processing chamber in which the metal silicide film is formed using Y Cl Z.
13. The method for manufacturing a semiconductor device according to item 5.
【請求項3】 前記熱処理工程は、前記金属シリサイド
膜の上にSiO2 膜を形成させることであることを特徴
とする請求項1又は請求項2に記載の半導体装置の製造
方法。
3. The method according to claim 1, wherein the heat-treating step comprises forming a SiO 2 film on the metal silicide film.
【請求項4】 前記半導体基板と前記金属シリサイド膜
との間に、前記半導体基板側から順にゲート酸化膜とポ
リシリコン膜を形成させて、ポリサイド構造のゲート電
極を形成するようにしたことを特徴とする請求項1又は
請求項2に記載の半導体装置の製造方法。
4. A gate electrode having a polycide structure, wherein a gate oxide film and a polysilicon film are sequentially formed between the semiconductor substrate and the metal silicide film from the semiconductor substrate side. 3. The method for manufacturing a semiconductor device according to claim 1, wherein:
【請求項5】 前記金属シリサイド膜は、タングステン
シリサイド膜であることを特徴とする請求項1又は請求
項2に記載の半導体装置の製造方法。
5. The method according to claim 1, wherein the metal silicide film is a tungsten silicide film.
【請求項6】 前記タングステンシリサイド膜は、前記
半導体基板側から順に形成された第1のタングステンシ
リサイド膜と第2のタングステンシリサイド膜とから成
り、前記第1のタングステンシリサイド膜におけるタン
グステンに対するシリコンの比Si/Wは、前記第2の
タングステンシリサイド膜よりも大きいことを特徴とす
る請求項5に記載の半導体装置の製造方法。
6. The tungsten silicide film includes a first tungsten silicide film and a second tungsten silicide film formed sequentially from the semiconductor substrate side, and a ratio of silicon to tungsten in the first tungsten silicide film. 6. The method according to claim 5, wherein Si / W is larger than the second tungsten silicide film.
JP11100098A 1999-04-07 1999-04-07 Manufacture of semiconductor device Pending JP2000294775A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11100098A JP2000294775A (en) 1999-04-07 1999-04-07 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11100098A JP2000294775A (en) 1999-04-07 1999-04-07 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JP2000294775A true JP2000294775A (en) 2000-10-20

Family

ID=14264936

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11100098A Pending JP2000294775A (en) 1999-04-07 1999-04-07 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JP2000294775A (en)

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02125875A (en) * 1988-08-31 1990-05-14 Watkins Johnson Co Chemical vapor deposition using disilane
JPH0620992A (en) * 1992-06-01 1994-01-28 Fujitsu Ltd Vapor growth and device therefor
JPH07161976A (en) * 1993-12-03 1995-06-23 Kawasaki Steel Corp Semiconductor device and its manufacture
JPH08236464A (en) * 1994-09-27 1996-09-13 Applied Materials Inc Utilization of si h 4 soak and purge in accumulation process
JPH09213655A (en) * 1996-02-07 1997-08-15 Toshiba Corp Semiconductor device and manufacture thereof
JPH1055981A (en) * 1996-05-03 1998-02-24 Siemens Ag Method for supplying si by preventing abnormal oxidization of wsix and forming homogeneous six when producing integrated circuit device
JPH10107034A (en) * 1996-09-21 1998-04-24 United Microelectron Corp Method for manufacturing word line
JPH10189596A (en) * 1996-12-24 1998-07-21 Sony Corp Manufacturing method of semiconductor device
JPH10247627A (en) * 1997-03-04 1998-09-14 Tokyo Electron Ltd Film forming method and device therefor
JP2000036471A (en) * 1998-07-17 2000-02-02 Nec Corp Semiconductor device provided with high melting point metal polycide structure and manufacture therefor
JP2000040675A (en) * 1998-07-23 2000-02-08 Sony Corp Manufacture of semiconductor device and semiconductor device manufactured thereby
JP2000235960A (en) * 1999-02-15 2000-08-29 Matsushita Electronics Industry Corp Manufacture of semiconductor device

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02125875A (en) * 1988-08-31 1990-05-14 Watkins Johnson Co Chemical vapor deposition using disilane
JPH0620992A (en) * 1992-06-01 1994-01-28 Fujitsu Ltd Vapor growth and device therefor
JPH07161976A (en) * 1993-12-03 1995-06-23 Kawasaki Steel Corp Semiconductor device and its manufacture
JPH08236464A (en) * 1994-09-27 1996-09-13 Applied Materials Inc Utilization of si h 4 soak and purge in accumulation process
JPH09213655A (en) * 1996-02-07 1997-08-15 Toshiba Corp Semiconductor device and manufacture thereof
JPH1055981A (en) * 1996-05-03 1998-02-24 Siemens Ag Method for supplying si by preventing abnormal oxidization of wsix and forming homogeneous six when producing integrated circuit device
JPH10107034A (en) * 1996-09-21 1998-04-24 United Microelectron Corp Method for manufacturing word line
JPH10189596A (en) * 1996-12-24 1998-07-21 Sony Corp Manufacturing method of semiconductor device
JPH10247627A (en) * 1997-03-04 1998-09-14 Tokyo Electron Ltd Film forming method and device therefor
JP2000036471A (en) * 1998-07-17 2000-02-02 Nec Corp Semiconductor device provided with high melting point metal polycide structure and manufacture therefor
JP2000040675A (en) * 1998-07-23 2000-02-08 Sony Corp Manufacture of semiconductor device and semiconductor device manufactured thereby
JP2000235960A (en) * 1999-02-15 2000-08-29 Matsushita Electronics Industry Corp Manufacture of semiconductor device

Similar Documents

Publication Publication Date Title
US5877074A (en) Method for improving the electrical property of gate in polycide structure
KR100195355B1 (en) Semiconductor device manufacturing method including dry oxidation
US5518960A (en) Method of manufacturing a wiring layer including amorphous silicon and refractory metal silicide
JP2002359371A (en) Semiconductor device and its manufacturing method
KR20020002624A (en) Method for forming gate electrode in semiconductor deivce
US6635938B1 (en) Semiconductor device and manufacturing method thereof
US6489661B2 (en) Method of manufacturing semiconductor device and semiconductor device
KR19990000815A (en) Manufacturing method of semiconductor memory device to prevent oxidation of bit line
US6797559B2 (en) Method of fabricating semiconductor device having metal conducting layer
JPH1022467A (en) Semiconductor device and manufacture thereof
JPH08316474A (en) Manufacture of semiconductor device
KR101062835B1 (en) Method for manufacturing gate electrode of semiconductor device using double hard mask
JP2000294775A (en) Manufacture of semiconductor device
US20030003656A1 (en) Method of manufacturing flash memory device
KR100846391B1 (en) Method for fabricating WSix gate in semiconductor device
KR0135223B1 (en) Fabrication method of gate electrode in semiconductor device
JP2001015754A (en) Method for forming conductive line of semiconductor device
KR20040002301A (en) Method for manufacturing a semiconductor device
KR100275110B1 (en) Method for forming tungsten polycide
US6531394B1 (en) Method for forming gate electrode of semiconductor device
KR100340899B1 (en) Method of forming a silicide layer
KR100328703B1 (en) Method of forming a polycide in a semiconductor device
JPH11297988A (en) Manufacture of gate electrode which prevents spiking effect of metal silicide
KR100321720B1 (en) A method for forming gate electrode with tungsten polycide structure in MOS transistor
JPH11145425A (en) Manufacture of semiconductor element and semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051213

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20051213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081104

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090105

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091117