JPH09213655A - Semiconductor device and manufacture thereof - Google Patents

Semiconductor device and manufacture thereof

Info

Publication number
JPH09213655A
JPH09213655A JP8021237A JP2123796A JPH09213655A JP H09213655 A JPH09213655 A JP H09213655A JP 8021237 A JP8021237 A JP 8021237A JP 2123796 A JP2123796 A JP 2123796A JP H09213655 A JPH09213655 A JP H09213655A
Authority
JP
Japan
Prior art keywords
film
silicon
metal silicide
refractory metal
wsi
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8021237A
Other languages
Japanese (ja)
Inventor
Yasushi Akasaka
泰志 赤坂
Kazuaki Nakajima
一明 中嶋
Kiyotaka Miyano
清孝 宮野
Kyoichi Suguro
恭一 須黒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP8021237A priority Critical patent/JPH09213655A/en
Publication of JPH09213655A publication Critical patent/JPH09213655A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

PROBLEM TO BE SOLVED: To make a decrease in the breakdown strength of a semiconductor device inhibit even if the microscopic formation of the device is made progress by a method wherein a silicon ion-implanted layer is formed on the surface of a high-melting point metal silicide film and in an oxidation, the feed of the silicon in the silicon ion-implanted layer from the ion-implanted layer to the silicide film is caused. SOLUTION: When the microscopic formation of a semiconductor device is advanced the silicon in a high-melding point metal silicide film is easily consumed by an oxidation and the feed of the silicon in a base polycrystalline silicon film from the polycrystalline silicon film to the silicide film is locally caused. There, a silicon ion-implanted layer 6 is formed on the surface of a WSix film 5. As a result, because the feed of the silicon in the layer 6 from the layer 6 to the film 5 is caused, the feed the silicon in the polycrystalline silicon film 4 from the film 4 to the film 5 is inhibited. Accordingly, even if the microscopic formation is made progress, bite into the film 5 can be effectively made to prevent.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、電極や配線に特徴
がある半導体装置およびその製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device characterized by electrodes and wiring and a method for manufacturing the same.

【0002】[0002]

【従来の技術】LSIは素子の微細化により動作速度の
高速化を達成してきたが、微細化に伴って素子や配線の
寄生容量や寄生抵抗の影響によるRC遅延が顕在化し、
RC遅延が動作速度を律速する主要因になってきてい
る。
2. Description of the Related Art LSIs have achieved higher operating speeds due to the miniaturization of elements. However, with the miniaturization, RC delay due to the influence of parasitic capacitance and parasitic resistance of elements and wirings has become apparent.
RC delay has become a major factor limiting the operating speed.

【0003】特に、相補型MOSFET(CMOS)を
用いた半導体装置においては、ゲートのRC遅延を低減
するために、ゲートのシート抵抗を低減することは必須
である。
Particularly, in a semiconductor device using a complementary MOSFET (CMOS), it is essential to reduce the sheet resistance of the gate in order to reduce the RC delay of the gate.

【0004】ゲート電極としては、従来より、耐熱性や
耐酸化性に優れ、ゲート酸化膜に欠陥を生じさせること
の少ない、燐拡散された多結晶シリコン膜(多結晶シリ
コンゲート)が用いられてきた。
As the gate electrode, a phosphorus-diffused polycrystalline silicon film (polycrystalline silicon gate), which has excellent heat resistance and oxidation resistance and hardly causes defects in the gate oxide film, has been conventionally used. It was

【0005】しかし、比抵抗をさらに小さくするため
に、多結晶シリコン膜上にWSix 膜やMoSix 膜等
の高融点金属シリサイド膜を積層したポリサイド構造の
ゲート電極(ポリサイドゲート)が一般的に用いられる
に至っている。
However, in order to further reduce the specific resistance, a gate electrode (polycide gate) having a polycide structure in which a refractory metal silicide film such as a WSi x film or a MoSi x film is laminated on a polycrystalline silicon film is generally used. Has been used for.

【0006】ポリサイドゲートは、従来の多結晶シリコ
ンゲートを用いたプロセスの多くの部分を踏襲すること
ができ、また、ゲート酸化膜に接触する部分は従来通り
の多結晶シリコンであるため、MOSFETのしきい値
電圧の設定など素子の設計に関わる部分で大きな変更を
必要としないという利点がある。
The polycide gate can follow many parts of the process using the conventional polycrystalline silicon gate, and since the part in contact with the gate oxide film is the conventional polycrystalline silicon, the MOSFET This has the advantage that no major changes are required in the element design, such as the setting of the threshold voltage.

【0007】例えば、耐熱性や耐酸化性の点では、Mo
Six 膜やWSix 膜は、ゲート形成後に加えられると
予想される950℃程度の熱工程に十分耐え、酸化した
場合も正規組成(x=2)より過剰に添加されたSiを
消費し、表面にSiO2 膜を形成し、初期の形状を保つ
という優れた特性を持つ。また、高融点金属シリサイド
膜としては、特に比抵抗が低いWSix 膜が最近では用
いられることが多くなってきた。
For example, in terms of heat resistance and oxidation resistance, Mo
The Si x film and the WSi x film sufficiently withstand a thermal process of about 950 ° C. which is expected to be added after the gate is formed, and when oxidized, consumes Si added in excess of the normal composition (x = 2), It has excellent characteristics of forming an SiO 2 film on the surface and maintaining the initial shape. In addition, as the refractory metal silicide film, a WSi x film having a particularly low specific resistance is often used recently.

【0008】ここで、図15の工程断面図を従って、従
来のWSix ポリサイドゲートを用いたn型MOSFE
Tの製造方法を説明する。
Here, according to the process sectional view of FIG. 15, an n-type MOSFE using a conventional WSi x polycide gate is used.
A method of manufacturing T will be described.

【0009】まず、図15(a)に示すように、1×1
16cm-3程度の濃度でp型にドーピングされたシリコ
ン基板101を用意し、次に所定の領域に素子分離を構
成する厚さ600nm程度のシリコン酸化膜102を形
成する。
First, as shown in FIG. 15A, 1 × 1
A p-type doped silicon substrate 101 having a concentration of about 0 16 cm −3 is prepared, and then a silicon oxide film 102 having a thickness of about 600 nm which constitutes element isolation is formed in a predetermined region.

【0010】次に同図(a)に示すように、シリコン基
板101上のシリコン酸化膜102が形成されていない
領域に厚さ10nm程度の酸化膜103を形成する。こ
の酸化膜103は、引き続き行なわれるイオン注入の工
程で露出した基板表面に直接イオン注入することで欠陥
を引き起こすことを防ぐ目的と、CMOSを形成する場
合にはレジストを形成して異なる型のイオンを打ち分け
る際にシリコン基板101をレジストの汚染から守る目
的を兼ねるものである。
Next, as shown in FIG. 3A, an oxide film 103 having a thickness of about 10 nm is formed on a region of the silicon substrate 101 where the silicon oxide film 102 is not formed. This oxide film 103 is for the purpose of preventing defects from being directly ion-implanted into the exposed substrate surface in the subsequent ion-implantation process, and in the case of forming a CMOS, a resist is formed and ions of different types are formed. It also serves the purpose of protecting the silicon substrate 101 from the contamination of the resist when the wafers are separated.

【0011】次に同図(a)に示すように、n型MOS
FETのしきい値電圧を所定の値に合わせるために、基
板表面にp型不純物イオン104を注入する。このと
き、基板表面を1×1017cm-3程度の濃度にするが、
このイオン注入は、所望のトランジスタ特性を得るため
に複数回の、加速やイオン種の異なる注入で構成される
場合がある。また、そのときのイオン種も同導電型のも
のに限らず、必要に応じて逆導電型のものも併せて用い
られる場合がある。
Next, as shown in FIG.
In order to adjust the threshold voltage of the FET to a predetermined value, p-type impurity ions 104 are implanted on the surface of the substrate. At this time, the substrate surface is made to have a concentration of about 1 × 10 17 cm −3 ,
This ion implantation may be configured by a plurality of times of acceleration or implantation of different ion species in order to obtain desired transistor characteristics. In addition, the ion species at that time is not limited to the same conductivity type, and an opposite conductivity type may be used together as needed.

【0012】次に図15(b)に示すように、イオン注
入により損傷を受けた酸化膜103を剥離し、新たに厚
さ10nm程度のゲート酸化膜105を形成する。
Next, as shown in FIG. 15B, the oxide film 103 damaged by the ion implantation is removed, and a gate oxide film 105 having a thickness of about 10 nm is newly formed.

【0013】次に同図(b)に示すように、厚さ200
nm程度の多結晶シリコン膜106を堆積し、この多結
晶シリコン膜106にn型の不純物を2×1020cm-3
程度の濃度になるようにドーピングする。
Next, as shown in FIG.
A polycrystalline silicon film 106 having a thickness of about 10 nm is deposited, and an n-type impurity is added to the polycrystalline silicon film 106 at 2 × 10 20 cm −3.
Doping so that the concentration is about the same.

【0014】この不純物の型は、希望するしきい値電圧
の値によってはp型であっても良いが、一般にn型MO
SFETにおいてはn型不純物を用いる。また、ドーピ
ングの方法は、AsまたはPをイオン注入により導入し
ても良いし、POCl3 を含む雰囲気中で熱工程を加え
ることによりPを導入してもよい。また、LPCVD法
で多結晶シリコン膜106を堆積する際にSiH4 等の
Siを含むソースガスと同時にPやAsを含むソースガ
スを用いることで同時にドーピングしてもかまわない。
The type of the impurity may be p-type depending on the desired threshold voltage value, but generally n-type MO.
N-type impurities are used in the SFET. As the doping method, As or P may be introduced by ion implantation, or P may be introduced by adding a heat step in an atmosphere containing POCl 3 . Further, when the polycrystalline silicon film 106 is deposited by the LPCVD method, a source gas containing Si such as SiH 4 and a source gas containing P or As may be used at the same time to dope simultaneously.

【0015】次に同図(b)に示すように、スパッタ法
やCVD法を用いて、厚さ200nm程度のWSix
107を堆積する。このとき、WSix 膜107の組成
は正規組成よりもシリコンリッチ(x>2)とする。
Next, as shown in FIG. 3B, a WSi x film 107 having a thickness of about 200 nm is deposited by using a sputtering method or a CVD method. At this time, the composition of the WSi x film 107 is made richer in silicon (x> 2) than the normal composition.

【0016】これは、後工程の酸化の際に、まず、WS
x 膜107中に存在する余剰Siを消費し、表面にS
iO2 を形成しつつ初期の状態を保ち、WSix が酸化
されWの酸化物(WO3 )が形成されるのを防止するた
めである。
[0016] This is as follows.
Excess Si existing in the i x film 107 is consumed, and S
This is because the initial state is maintained while forming iO 2 , and WSi x is prevented from being oxidized to form an oxide of W (WO 3 ).

【0017】WO3 が形成される際には大きな体積膨張
を伴うため、ゲート形状を損ない、甚だしい場合にはW
Six 膜107の剥離を招く場合がある(以下、異常酸
化という)。
When WO 3 is formed, a large volume expansion is involved, so that the gate shape is impaired, and in extreme cases, W 3 is formed.
The Si x film 107 may be peeled off (hereinafter referred to as abnormal oxidation).

【0018】しかし、組成がシリコンリッチになると、
比抵抗が上昇するため、ポリサイドゲートによるシート
抵抗低減という本来の目的を満たすことができなくな
る。これらの事情を考慮し、例えばx=2.6程度の組
成のものを用いるのが一般的である。
However, when the composition becomes silicon-rich,
Since the specific resistance increases, the original purpose of reducing the sheet resistance by the polycide gate cannot be satisfied. In consideration of these circumstances, it is general to use a composition having a composition of, for example, x = 2.6.

【0019】次に図15(c)に示すように、フォトリ
ソグラフィ法を用いて多結晶シリコン膜106およびW
Six 膜107を所定のゲート電極の形状にパターニン
グする。このゲート電極の最小線幅は今日では0.25
μmを下回る場合もある。
Next, as shown in FIG. 15C, the polycrystalline silicon film 106 and W are formed by photolithography.
The Si x film 107 is patterned into a predetermined gate electrode shape. The minimum line width of this gate electrode is 0.25 today
It may be less than μm.

【0020】次に同図(c)に示すように、パターニン
グの際にゲート端に与えられた損傷を回復する目的と、
ゲート端の酸化膜をわずかに厚くして電界集中を緩和す
る目的でゲート電極表面に厚さ15nm程度の酸化膜1
08を形成する。
Next, as shown in FIG. 3C, the purpose of recovering damage given to the gate edge at the time of patterning,
An oxide film with a thickness of about 15 nm is formed on the surface of the gate electrode for the purpose of slightly thickening the oxide film at the gate end and relaxing the electric field concentration.
08 is formed.

【0021】このとき、所望の酸化膜厚を得るために酸
化速度の速い条件で一度に厚い酸化膜108を形成する
と、シリコンの供給が追いつかずにWSix 膜107が
酸化され、Wのの酸化物(WO3 )が形成される場合が
ある。
At this time, if a thick oxide film 108 is formed at a time under conditions of a high oxidation rate in order to obtain a desired oxide film thickness, the supply of silicon will not catch up and the WSi x film 107 will be oxidized to oxidize W. sometimes object (WO 3) is formed.

【0022】WO3 が形成されると上述したように異常
酸化の問題が生じるので、異常酸化を防止するために、
はじめに低温での酸化や、ArやN2 で希釈した酸化雰
囲気を用いた酸化速度の遅い酸化で薄い酸化膜を形成
し、次に酸化速度の速い酸化に切り替え所望の膜厚を得
るという二段階の酸化を用いることが有効である。
When WO 3 is formed, the problem of abnormal oxidation occurs as described above. Therefore, in order to prevent abnormal oxidation,
First, a two-step process of forming a thin oxide film by low-temperature oxidation or low-oxidation oxidation using an oxidizing atmosphere diluted with Ar or N 2 and then switching to high-oxidation oxidation to obtain the desired film thickness. It is effective to use the oxidation of

【0023】次に同図(c)に示すように、3×1013
cm-3程度のAsイオン109をイオン注入し、低濃度
のソース・ドレイン領域110を形成する。
[0023] Then, as shown in FIG. (C), 3 × 10 13
As ions 109 of about cm −3 are ion-implanted to form low concentration source / drain regions 110.

【0024】次に図15(d)に示すように、全面にS
iO2 、Si3 4 等の絶縁膜111を堆積した後、図
15(e)に示すように、RIEなどの異方性エッチン
グを用いてエッチバックすることによりゲート部の両側
にゲート側壁絶縁膜112を形成する。
Next, as shown in FIG.
After depositing an insulating film 111 of iO 2 , Si 3 N 4 or the like, as shown in FIG. 15E, etching back is performed using anisotropic etching such as RIE to isolate the gate sidewalls on both sides of the gate portion. The film 112 is formed.

【0025】次に同図(e)に示すように、ゲート側壁
絶縁膜112をマスクとして、3×1015cm-3程度の
Asイオン113を基板表面に注入して、高濃度のソー
ス・ドレイン領域114を形成する。
Next, as shown in FIG. 3E, using the gate side wall insulating film 112 as a mask, As ions 113 of about 3 × 10 15 cm −3 are implanted into the substrate surface to form a high concentration source / drain. A region 114 is formed.

【0026】このように2段階の濃度の異なるソース・
ドレイン領域を形成する方法は一般にLDD(Ligh
ily Doped Drain)と呼ばれ、電界緩和
領域である低濃度のソース・ドレイン領域110を設け
ることで、高エネルギーのキャリア(hot carr
ier)の発生を抑制し、トランジスタの信頼性を高め
ることを目的としている。
As described above, the sources having the two different concentrations
The method for forming the drain region is generally LDD (Light
It is referred to as ily Doped Drain, and by providing a low concentration source / drain region 110 which is an electric field relaxation region, high energy carriers (hot carr) are provided.
The purpose of this is to suppress the occurrence of ier) and improve the reliability of the transistor.

【0027】この後、通常の方法でソース・ドレイン領
域110,114に注入したAsを活性化するための熱
処理を行なった後、絶縁膜を全面に堆積し、所定の領域
にコンタクトホールを開孔し、さらにAl等を主成分と
する配線を形成することにより、n型MOSFETが完
成する。
After that, a heat treatment for activating As injected into the source / drain regions 110 and 114 is performed by a usual method, and then an insulating film is deposited on the entire surface, and contact holes are formed in predetermined regions. Then, an n-type MOSFET is completed by forming a wiring containing Al as a main component.

【0028】しかしながら、このようなWSix ポリサ
イドゲートを用いても、素子の微細化に伴い酸化工程に
おいて問題を生じる場合が多くなってきた。
However, even when such a WSi x polycide gate is used, problems often occur in the oxidation process due to the miniaturization of the device.

【0029】すなわち、微細化、つまり、ゲート線幅の
縮小化に伴って、WSix 膜107中のシリコンの絶対
量が少なくなるために、同一膜厚の酸化でもWSix
107中のシリコン組成比が大きく減少し、Si組成比
xが化学量論的組成比に近づくため、異常酸化が起きや
すくなるという問題が生じる。
That is, the absolute amount of silicon in the WSi x film 107 decreases with the miniaturization, that is, the reduction in the gate line width, and therefore the silicon composition in the WSi x film 107 is reduced even if the same film thickness is oxidized. Since the ratio is greatly reduced and the Si composition ratio x approaches the stoichiometric composition ratio, there arises a problem that abnormal oxidation easily occurs.

【0030】図16に、高融点金属シリサイド膜として
WSix 膜を用いた場合の各酸化膜厚TOXにおけるゲー
ト線幅と組成比x(Si/W)の関係を示す。ここで
は、WSix 膜の初期組成としては典型的な値であるX
=2.6の場合を示してある。また、WSix 膜の膜厚
は200nmで一定である。
FIG. 16 shows the relationship between the gate line width and the composition ratio x (Si / W) in each oxide film thickness T OX when the WSi x film is used as the refractory metal silicide film. Here, X is a typical value as the initial composition of the WSi x film.
= 2.6 is shown. The film thickness of the WSi x film is constant at 200 nm.

【0031】さらに、深刻な問題は、微細化が進むと、
異常酸化が生じる前に、図17に示すように、WSix
膜107の一部が、多結晶シリコン膜106中に食い込
み、ゲート酸化膜105にまで達成することにより、ゲ
ート耐圧が低下するという問題があった。
Further, a serious problem is that as miniaturization progresses,
Before abnormal oxidation occurs, as shown in FIG. 17, WSi x
A part of the film 107 bites into the polycrystalline silicon film 106 and reaches the gate oxide film 105, which causes a problem that the gate breakdown voltage is lowered.

【0032】また、ゲート酸化膜105にまで達成しな
い場合でも、WSix 膜107が多結晶シリコン膜10
6中に食い込んでいれば、電界集中が生じてゲート耐圧
は劣化するという問題が生じる。
Even if the gate oxide film 105 is not reached, the WSi x film 107 is used as the polycrystalline silicon film 10.
If it penetrates into 6, the electric field concentration occurs and the gate breakdown voltage deteriorates.

【0033】[0033]

【発明が解決しようとする課題】上述の如く、比抵抗を
より小さくするために、多結晶シリコンゲートに代わっ
て、多結晶シリコン膜上に高融点金属シリサイド膜を積
層した構造であるポリサイドゲートが用いられるように
なってきたが、微細化が進むと、シリサイド表面の酸化
を行なうと、異常酸化が生じたり、高融点金属シリサイ
ド膜の一部が多結晶シリコン膜に食い込み、ゲート耐圧
が低下するなどのゲートの信頼性が低下するという問題
があった。
As described above, in order to further reduce the specific resistance, a polycide gate having a structure in which a refractory metal silicide film is laminated on a polycrystalline silicon film in place of the polycrystalline silicon gate. However, as miniaturization progresses, oxidation of the silicide surface causes abnormal oxidation or part of the refractory metal silicide film penetrates into the polycrystalline silicon film, lowering the gate breakdown voltage. However, there is a problem in that the reliability of the gate is deteriorated.

【0034】本発明は、上記事情を考慮してなされたも
ので、その目的とするところは、微細化を進めても、耐
圧の低下を抑制できるシリコン膜上に高融点金属シリサ
イド膜を積層した構造の電極(配線)を有する半導体装
置およびその製造方法を提供することにある。
The present invention has been made in consideration of the above circumstances, and an object thereof is to stack a refractory metal silicide film on a silicon film capable of suppressing a decrease in breakdown voltage even if miniaturization is advanced. It is to provide a semiconductor device having an electrode (wiring) having a structure and a method for manufacturing the same.

【0035】[0035]

【課題を解決するための手段】[Means for Solving the Problems]

[概要]上記目的を達成するために、本発明に係る半導
体装置(請求項1)は、シリコン膜と、このシリコン膜
上に形成された高融点金属シリサイド膜と、この高融点
金属シリサイド膜の表面に形成されたシリコンイオン注
入層とからなる配線および電極の少なくとも一方を備え
ていることを特徴とする。
[Outline] In order to achieve the above object, a semiconductor device (claim 1) according to the present invention comprises a silicon film, a refractory metal silicide film formed on the silicon film, and a refractory metal silicide film. At least one of a wiring and an electrode formed of a silicon ion implantation layer formed on the surface is provided.

【0036】また、本発明に係る半導体装置の製造方法
(請求項2)は、シリコン膜上に高融点金属シリサイド
膜を形成し、この高融点金属シリサイド膜の表面にシリ
コンをイオン注入する工程を有する配線および電極の少
なくとも一方を形成する工程と、前記イオン注入の後に
酸化処理を行なう工程とを有することを特徴とする。ま
た、本発明に係る他の半導体装置(請求項3)は、シリ
コン膜と、このシリコン膜上に形成された高融点金属シ
リサイド膜と、この高融点金属シリサイド膜の表面に形
成され、シリコン、前記高融点金属シリサイド膜を構成
する高融点金属および濃度が1×1021個/cm3 以上
の酸素からなり、酸化に対して安定な安定層とからなる
配線および電極の少なくとも一方を備えていることを特
徴とする。
Further, a method of manufacturing a semiconductor device according to the present invention (claim 2) comprises a step of forming a refractory metal silicide film on a silicon film and implanting silicon into the surface of the refractory metal silicide film. The method is characterized by including a step of forming at least one of the wiring and the electrode which it has, and a step of performing an oxidation treatment after the ion implantation. Another semiconductor device according to the present invention (claim 3) is a silicon film, a refractory metal silicide film formed on the silicon film, and a silicon film formed on the surface of the refractory metal silicide film. The high-melting-point metal silicide film is provided with at least one of a wiring and an electrode, which is made of a high-melting-point metal and oxygen having a concentration of 1 × 10 21 pieces / cm 3 or more and is stable against oxidation. It is characterized by

【0037】また、本発明に係る他の半導体装置の製造
方法(請求項4)は、シリコン膜上に高融点金属シリサ
イド膜を形成し、この高融点金属シリサイド膜の表面に
酸素をイオン注入して、酸化に対して安定な安定層を形
成する工程を有する配線および電極の少なくとも一方を
形成する工程と、前記イオン注入の後に酸化処理を行な
う工程とを有することを特徴とする。
Further, according to another method of manufacturing a semiconductor device of the present invention (claim 4), a refractory metal silicide film is formed on a silicon film, and oxygen is ion-implanted into the surface of the refractory metal silicide film. And a step of forming at least one of a wiring and an electrode having a step of forming a stable layer that is stable against oxidation, and a step of performing an oxidation treatment after the ion implantation.

【0038】また、本発明に係る他の半導体装置の製造
方法(請求項5)は、基板上にシリコン膜を形成する工
程と、このシリコン膜上に高融点金属シリサイド膜を形
成する工程と、前記シリコン膜および高融点金属シリサ
イド膜を加工して、配線および電極の少なくとも一方を
形成する工程と、前記加工の前または後に、前記高融点
金属シリサイド膜の表面に酸素をイオン注入して、前記
高融点金属シリサイド膜の表面に酸化に対して安定な安
定層を形成する工程と、前記イオン注入の後に酸化処理
を行なう工程とを有することを特徴とする。
Another method of manufacturing a semiconductor device according to the present invention (claim 5) comprises a step of forming a silicon film on a substrate, and a step of forming a refractory metal silicide film on the silicon film. A step of processing the silicon film and the refractory metal silicide film to form at least one of a wiring and an electrode; and oxygen implantation into the surface of the refractory metal silicide film before or after the processing, The method is characterized by including a step of forming a stable layer that is stable against oxidation on the surface of the refractory metal silicide film and a step of performing an oxidation treatment after the ion implantation.

【0039】また、本発明に係る他の半導体装置の製造
方法(請求項6)は、基板上にシリコン膜を形成する工
程と、このシリコン膜上に高融点金属シリサイド膜を形
成する工程と、前記シリコン膜および高融点金属シリサ
イド膜を加工して、配線および電極の少なくとも一方を
形成する工程と、前記加工の前または後に、前記高融点
金属シリサイド膜上に酸化膜を形成し、この酸化膜を介
して、前記高融点金属シリサイド膜の表面に酸素をイオ
ン注入して、前記高融点金属シリサイド膜の表面に酸化
に対して安定な安定層を形成する工程と、前記イオン注
入の後に酸化処理を行なう工程とを有することを特徴と
する。
Another method of manufacturing a semiconductor device according to the present invention (claim 6) comprises a step of forming a silicon film on a substrate, and a step of forming a refractory metal silicide film on the silicon film. A step of processing the silicon film and the refractory metal silicide film to form at least one of a wiring and an electrode; and an oxide film formed on the refractory metal silicide film before or after the processing. Through a step of ion-implanting oxygen into the surface of the refractory metal silicide film to form a stable layer that is stable against oxidation on the surface of the refractory metal silicide film, and an oxidation treatment after the ion implantation. And a step of performing.

【0040】本発明において、シリコン膜は、多結晶シ
リコン膜でも良いし、あるいはアモルファスシリコン膜
でも良い。
In the present invention, the silicon film may be a polycrystalline silicon film or an amorphous silicon film.

【0041】[作用]本発明者等は、ポリサイドゲート
を使用した場合に、酸化工程で、高融点金属シリサイド
膜が多結晶シリコン膜中に食い込む原因は、微細化が進
むと、高融点金属シリサイド膜中のシリコンが酸化によ
り容易に消費され、下地の多結晶シリコン膜から高融点
金属シリサイド膜へのシリコンの供給が局所的に起こる
ことにあると考えている。
[Operation] When the polycide gate is used, the inventors of the present invention cause the refractory metal silicide film to bite into the polycrystalline silicon film in the oxidation step. It is considered that the silicon in the silicide film is easily consumed by oxidation, and the supply of silicon from the underlying polycrystalline silicon film to the refractory metal silicide film occurs locally.

【0042】そこで、本発明(請求項1、請求項2)で
は、高融点金属シリサイド膜の表面にシリコンイオン注
入層を形成している。この結果、酸化の際には、シリコ
ンイオン注入層から高融点金属シリサイド膜へのシリコ
ンの供給が起こるため、多結晶シリコン膜から高融点金
属シリサイド膜へのシリコンの供給は抑制される。
Therefore, in the present invention (claims 1 and 2), a silicon ion implantation layer is formed on the surface of the refractory metal silicide film. As a result, during oxidation, supply of silicon from the silicon ion-implanted layer to the refractory metal silicide film occurs, so that supply of silicon from the polycrystalline silicon film to the refractory metal silicide film is suppressed.

【0043】したがって、本発明によれば、微細化を進
めても、高融点金属シリサイド膜の食い込みを効果的に
防止でき、耐圧の低下を抑制できるようになる。
Therefore, according to the present invention, even if the miniaturization is advanced, it is possible to effectively prevent the refractory metal silicide film from being bite into and suppress the decrease in breakdown voltage.

【0044】さらに、シリコンイオン注入層は高融点金
属シリサイド膜の表面に形成されていることから、酸化
はシリコンイオン注入層で主に起こり、高融点金属シリ
サイド膜では起こりにくくなる。これにより、酸化工程
における高融点金属シリサイド膜のシリコンの組成変動
は抑制され、所望組成の高融点シリサイド膜を容易に形
成できる。
Further, since the silicon ion-implanted layer is formed on the surface of the refractory metal silicide film, the oxidation mainly occurs in the silicon-ion-implanted layer and hardly occurs in the refractory metal silicide film. As a result, variation in the composition of silicon in the refractory metal silicide film in the oxidation step is suppressed, and a refractory silicide film having a desired composition can be easily formed.

【0045】したがって、本発明によれば、正規組成に
近い高融点シリサイド膜を容易に形成でき、これによ
り、微細化を進めても、シート抵抗の低い電極や配線を
実現できるようになる。
Therefore, according to the present invention, it is possible to easily form a high-melting-point silicide film close to the normal composition, and thus it is possible to realize electrodes and wirings having a low sheet resistance even if miniaturization is advanced.

【0046】また、本発明者等の研究によれば、ポリサ
イドゲートを使用した場合に、高融点金属シリサイド膜
の表面に高濃度(1×1021個/cm3 以上)の酸素か
らなる層(安定層)を形成すると、酸化はこの安定層で
止まり、高融点金属シリサイド膜の酸化を効果的に防止
できることが分かった。
Further, according to the study by the present inventors, when a polycide gate is used, a layer of high concentration (1 × 10 21 pieces / cm 3 or more) of oxygen is formed on the surface of the refractory metal silicide film. It was found that when the (stable layer) is formed, the oxidation stops at this stable layer, and the oxidation of the refractory metal silicide film can be effectively prevented.

【0047】したがって、上記知見に基づいた本発明
(請求項3〜請求項6)によれば、酸化工程の際におけ
る高融点金属シリサイド膜中のシリコンの消費を効果的
に防止できるので、微細化を進めても、高融点金属シリ
サイド膜の食い込みを効果的に防止でき、耐圧の低下を
抑制できるようになる。
Therefore, according to the present invention (claims 3 to 6) based on the above findings, it is possible to effectively prevent the consumption of silicon in the refractory metal silicide film during the oxidation step, and thus miniaturization. Even if the process is advanced, it is possible to effectively prevent the refractory metal silicide film from biting, and it is possible to suppress a decrease in withstand voltage.

【0048】さらに、高融点金属シリサイド膜中のシリ
コンの消費が防止されることから、組成変動も抑制さ
れ、所望組成の高融点シリサイド膜を容易に形成でき
る。
Further, since the consumption of silicon in the high melting point metal silicide film is prevented, the composition variation is suppressed and the high melting point silicide film having a desired composition can be easily formed.

【0049】したがって、本発明によれば、正規組成に
近い高融点シリサイド膜を容易に形成でき、これによ
り、微細化を進めても、シート抵抗の低い電極や配線を
実現できるようになる。
Therefore, according to the present invention, it is possible to easily form a high-melting-point silicide film close to the normal composition, and thus it is possible to realize electrodes and wirings having a low sheet resistance even if miniaturization is advanced.

【0050】[0050]

【発明の実施の形態】以下、図面を参照しながら本発明
の実施の形態(実施形態)を説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0051】(第1の実施形態)図1は、本発明の第1
の実施形態に係るn型MOSFETの製造方法を示す工
程断面図である。
(First Embodiment) FIG. 1 shows a first embodiment of the present invention.
FIG. 6 is a process sectional view showing the method of manufacturing the n-type MOSFET according to the embodiment of the present invention.

【0052】まず、図1(a)に示すように、前述した
従来のn型MOSFETの製造方法の場合と同様に、p
型シリコン基板1の表面に素子分離絶縁膜2を形成し、
次いでしきい値電圧を調整するためのイオン注入を行な
う。
First, as shown in FIG. 1A, as in the case of the conventional n-type MOSFET manufacturing method described above, p
An element isolation insulating film 2 is formed on the surface of the silicon substrate 1.
Then, ion implantation for adjusting the threshold voltage is performed.

【0053】次に同図(a)に示すように、ゲート酸化
膜3を形成した後、このゲート酸化膜3上に導電性不純
物を含んだ多結晶シリコン膜4を形成する。
Next, as shown in FIG. 9A, after forming the gate oxide film 3, a polycrystalline silicon film 4 containing a conductive impurity is formed on the gate oxide film 3.

【0054】次に図1(b)に示すように、多結晶シリ
コン膜4上にWSix 膜5をスパッタ法やCVD法等の
成膜法により形成する。このとき、WSix 膜5のSi
組成xは、2.0以上、望ましくは2.6程度にする。
Next, as shown in FIG. 1B, a WSi x film 5 is formed on the polycrystalline silicon film 4 by a film forming method such as a sputtering method or a CVD method. At this time, Si of the WSi x film 5
The composition x is 2.0 or more, preferably about 2.6.

【0055】次に図1(c)に示すように、全面にSi
イオンを注入して、WSix 膜5の表面にシリコンリッ
チなシリコンイオン注入層6を形成する。
Next, as shown in FIG. 1 (c), Si is formed on the entire surface.
Ions are implanted to form a silicon-rich silicon ion implantation layer 6 on the surface of the WSi x film 5.

【0056】例えば、後酸化工程で形成するシリコン酸
化膜7の最終的な膜厚が10nmの場合には、シリコン
は2.2×1022atoms/cm2 消費することか
ら、この程度の量のシリコンイオンを注入すれば、組成
変動を招くことなく、WSix膜5の上面を酸化できる
ことになる。また、加速エネルギーは、Rp(飛程)と
ΔRpの和がシリコン酸化膜7の最終的な膜厚を大きく
上回らない程度が好ましい。
[0056] For example, if the final thickness of the silicon oxide film 7 to be formed in a later oxidation step is 10nm, the silicon from the two consuming 2.2 × 10 22 atoms / cm, the amount of the degree By implanting silicon ions, the upper surface of the WSi x film 5 can be oxidized without causing compositional variation. Further, the acceleration energy is preferably such that the sum of Rp (range) and ΔRp does not greatly exceed the final film thickness of the silicon oxide film 7.

【0057】次に図1(d)に示すように、フォトリソ
グラフィ法を用いて、シリコンイオン注入層6、WSi
x 膜5、多結晶シリコン膜4を所定のゲート電極の形状
にパターニングする。
Next, as shown in FIG. 1D, the silicon ion implantation layer 6 and WSi are formed by photolithography.
The x film 5 and the polycrystalline silicon film 4 are patterned into a predetermined gate electrode shape.

【0058】なお、このパターニング後、WSix 膜5
の側面部にもシリコンイオンを例えば30°〜45°の
角度をもって斜めにイオン注入しても良く、これによ
り、WSix 膜5の側面部にシリコンイオン注入層を形
成し、後で述べるシリコンの供給を調整することができ
る。
After this patterning, the WSi x film 5 is formed.
May be ion-implanted into the side surface of the WSi x film 5 at an angle of, for example, 30 ° to 45 °, whereby a silicon ion-implanted layer is formed on the side surface of the WSi x film 5, and silicon ions to be described later are formed. The supply can be adjusted.

【0059】さらに、WSix 膜5の上にシリコン窒化
膜等の膜を積層形成する場合は、ゲート電極パターニン
グ後の酸化工程においてゲート電極側面部のみが露出す
るため、この側壁部のみに予めシリコンイオン注入層を
上記した斜めイオン注入法等により形成しておけば良
い。
Furthermore, when a film such as a silicon nitride film is formed on the WSi x film 5, only the side surface of the gate electrode is exposed in the oxidation step after patterning the gate electrode, so that only the side wall is preliminarily formed with silicon. The ion implantation layer may be formed by the above-mentioned oblique ion implantation method or the like.

【0060】図1(e)に示すように、上記パターニン
グの際にゲート端に与えられた損傷を回復する目的と、
ゲート端の酸化膜をわずかに厚くして電界集中を緩和す
る目的で、ゲート部表面および基板表面に厚さ15nm
程度の酸化膜7を形成する。この後、図15(c)〜図
15(e)の従来のn型MOSFETの工程と同様に、
低濃度の浅いソース・ドレイン領域、ゲート側壁絶縁
膜、高濃度の深いソース・ドレイン領域を形成し、ソー
ス・ドレイン領域に注入した不純物の活性化を行なった
後、絶縁膜を全面に堆積し、所定の領域にコンタクトホ
ールを開孔し、最後に、Al等を主成分とする配線を形
成することにより、n型MOSFETが完成する。
As shown in FIG. 1E, for the purpose of recovering the damage given to the gate end during the patterning,
The thickness of the gate surface and the substrate surface is 15 nm in order to slightly thicken the oxide film at the gate edge and reduce electric field concentration.
The oxide film 7 having a certain degree is formed. Thereafter, similar to the steps of the conventional n-type MOSFET shown in FIGS. 15C to 15E,
A low concentration shallow source / drain region, a gate sidewall insulating film, and a high concentration deep source / drain region are formed, the impurities implanted in the source / drain region are activated, and then an insulating film is deposited on the entire surface. A n-type MOSFET is completed by forming a contact hole in a predetermined region and finally forming a wiring containing Al as a main component.

【0061】本発明者等は、ポリサイドゲートを使用し
た場合に、酸化工程で、高融点金属シリサイド膜が多結
晶シリコン膜中に食い込む原因は、微細化が進むと、高
融点金属シリサイド膜中のシリコンが酸化により容易に
消費され、下地の多結晶シリコン膜から高融点金属シリ
サイド膜へのシリコンの供給が局所的に起こることにあ
ると考えている。
The present inventors have found that the reason why the refractory metal silicide film bites into the polycrystalline silicon film in the oxidation step when the polycide gate is used is that the refractory metal silicide film is It is considered that the above silicon is easily consumed by the oxidation, and the supply of silicon from the underlying polycrystalline silicon film to the refractory metal silicide film occurs locally.

【0062】そこで、本実施形態では、WSix 膜5の
表面にシリコンイオン注入層6を形成している。この結
果、図1(e)の工程の酸化の際には、シリコンイオン
注入層6からWSix 膜5へのシリコンの供給が起こる
ため、多結晶シリコン膜4からWSix 膜5へのシリコ
ンの供給は抑制される。
Therefore, in this embodiment, the silicon ion implantation layer 6 is formed on the surface of the WSi x film 5. As a result, during the oxidation in the step of FIG. 1E, silicon is supplied from the silicon ion implantation layer 6 to the WSi x film 5, so that the silicon from the polycrystalline silicon film 4 to the WSi x film 5 is removed. Supply is curbed.

【0063】したがって、本実施形態によれば、微細化
を進めても、WSix 膜5の食い込みを効果的に防止で
き、ゲート耐圧の低下を抑制でき、ゲートの信頼性を向
上することが可能となる。
Therefore, according to the present embodiment, even if the miniaturization is advanced, it is possible to effectively prevent the penetration of the WSi x film 5, suppress the decrease of the gate breakdown voltage, and improve the reliability of the gate. Becomes

【0064】さらに、シリコンイオン注入層6はWSi
x 膜5の表面に形成されていることから、酸化はシリコ
ンイオン注入層6で主に起こり、WSix 膜5の内部で
は起こりにくくなる。これにより、図1(e)の工程の
酸化におけるWSix 膜5のシリコンの組成変動は抑制
され、所望組成のWSix 膜5を容易に形成できるよう
になる。なお、組成変動については後述さらに詳細に説
明する。
Further, the silicon ion implantation layer 6 is made of WSi.
Since it is formed on the surface of the x film 5, the oxidation mainly occurs in the silicon ion implantation layer 6 and is less likely to occur inside the WSi x film 5. As a result, variation in the composition of silicon in the WSi x film 5 during the oxidation in the step of FIG. 1E is suppressed, and the WSi x film 5 having a desired composition can be easily formed. The composition variation will be described in more detail later.

【0065】したがって、本実施形態によれば、正規組
成に近いWSix 膜5を容易に形成でき、これにより、
シート抵抗の低いWSix ポリサイドゲートを実現でき
るようになる。
Therefore, according to this embodiment, it is possible to easily form the WSi x film 5 close to the normal composition.
A WSi x polycide gate having a low sheet resistance can be realized.

【0066】前述したように、ポリサイドゲートにおい
ては、下層である多結晶シリコン膜からWSix 膜にシ
リコンが供給されるが、これはWSix 膜が正規組成x
=2を下回るときではなく、実際にはx=2.2を下回
る程度で始まるという報告もなされている。(Chue
−san Yoo et al.JPN.J.App
l.Phys.29(1990)p.2535)。
[0066] As described above, in the polycide gate, the silicon of a polycrystalline silicon film which is a lower layer WSi x film is supplied, which WSi x film stoichiometry x
It is also reported that it does not start when it is less than = 2, but actually when it is less than x = 2.2. (Chue
-San Yoo et al. JPN. J. App
l. Phys. 29 (1990) p. 2535).

【0067】しかし、実際には、x=2.3〜2.4で
も耐圧劣化が生じる場合もある。これは必ずしもSiの
供給が均一に行われるわけではなく、例えば、WSix
膜の結晶粒界ではSiの拡散が速いなどの事情を考慮す
れば理解できる。
However, in reality, the breakdown voltage may deteriorate even when x = 2.3 to 2.4. This does not necessarily mean that Si is uniformly supplied. For example, WSi x
This can be understood by considering the fact that Si diffuses rapidly at the grain boundaries of the film.

【0068】また、前述したように、ポリサイドゲート
においては、その表面に熱酸化により酸化膜を形成する
際に、高融点金属シリサイド膜中の余剰シリコンが熱酸
化により消費され、ゲート耐圧が低下する。
As described above, in the polycide gate, when an oxide film is formed on the surface of the polycide gate by thermal oxidation, excess silicon in the refractory metal silicide film is consumed by thermal oxidation, and the gate breakdown voltage is lowered. To do.

【0069】高融点金属シリサイド膜中の余剰シリコン
の量は、高融点金属シリサイド膜の膜厚とゲート線幅に
依存するから、高融点金属シリサイド膜の膜厚が薄いほ
ど、また、ゲート線幅が細いほど耐圧の劣化は顕著にな
る。
The amount of excess silicon in the refractory metal silicide film depends on the film thickness of the refractory metal silicide film and the gate line width. The smaller is, the more significant the breakdown voltage is.

【0070】本発明者等は、WSix ポリサイドゲート
において、WSix 膜の表面にシリコンイオン注入層
(Si I/I層)を形成した場合および形成しなかっ
た場合について、WSix 膜中のシリコンが酸化により
消費されることによるSi/W比(Si組成x)の変動
がゲート線幅Lg によりどの程度異なるかを簡単なモデ
ルにより試算したみた。
[0070] The present inventors have in WSi x polycide gate, for if not the case and formed to form a silicon ion implanted layer (Si I / I layer) on the surface of the WSi x film, in WSi x film A simple model was used to estimate how much the variation in the Si / W ratio (Si composition x) due to the consumption of silicon due to oxidation varies depending on the gate line width L g .

【0071】ただし、酸化によりゲート上面および側面
に均一に酸化膜が形成されるものとし、WSix 膜中の
組成は均一だと仮定している。また、WSix 膜の上面
にSi I/I層が形成されている場合には、上面の酸
化膜の形成はWSix 膜の内部の組成に影響を与えない
ものとする。また、WSix 膜の初期組成xは2.6と
してある。
However, it is assumed that an oxide film is uniformly formed on the upper and side surfaces of the gate by oxidation, and the composition in the WSi x film is uniform. Further, when the Si I / I layer on the WSi x film is formed, formation of oxide film on the upper surface shall not affect the internal composition of the WSi x film. The initial composition x of the WSi x film is 2.6.

【0072】図2にその試算結果を示す。図中、点線は
Si I/I層を形成した場合、実線はSi I/I層
を形成しなかった場合の結果を示している。また、点線
および実線におけるTOX(10)、TOX(15)、TOX
(20)、TOX(25)はそれぞれ酸化量(酸化膜厚)
が10nm,15nm,20nm,25nmの場合の結
果を示している。
FIG. 2 shows the trial calculation result. In the figure, the dotted line shows the result when the Si I / I layer was formed, and the solid line shows the result when the Si I / I layer was not formed. Also, T OX (10), T OX (15), and T OX in the dotted line and the solid line
(20) and T OX (25) are the oxidation amount (oxide film thickness), respectively
Shows the results when is 10 nm, 15 nm, 20 nm and 25 nm.

【0073】図2から、Si I/I層を形成した場
合、Si I/I層を形成しなかった場合に比べて、組
成変動の絶対量が抑えられているのはもちろんとして、
酸化量が変化した場合の組成の変動量が小さいことが分
かる。
From FIG. 2, it is obvious that the absolute amount of compositional variation is suppressed when the Si I / I layer is formed, as compared with the case where the Si I / I layer is not formed.
It can be seen that the composition variation amount is small when the oxidation amount changes.

【0074】したがって、Si I/I層を形成しなか
った場合には、耐圧劣化を起こさないように余裕を見込
んだ上で初期組成や酸化量を決定しなければならない
が、Si I/I層を形成した場合には、この余裕を小
さくすることができるため、耐圧劣化を起こさない最小
の組成に近づけて用いることが可能になり、全体として
正規組成に近い、つまり、比抵抗の小さい組成のWSi
x 膜を容易に形成できるようになる。
Therefore, when the Si I / I layer is not formed, the initial composition and the amount of oxidation must be determined with a margin to prevent the breakdown voltage from deteriorating. When this is formed, this margin can be made small, so that it becomes possible to use the composition close to the minimum composition that does not cause the breakdown voltage deterioration, and the composition is close to the normal composition as a whole, that is, the composition having a small specific resistance. WSi
x film can be easily formed.

【0075】(第2の実施形態)図3、図4は、本発明
の第2の実施形態に係るn型MOSFETの製造方法を
示す工程断面図である。
(Second Embodiment) FIGS. 3 and 4 are process sectional views showing a method for manufacturing an n-type MOSFET according to a second embodiment of the present invention.

【0076】まず、図3(a)に示すように、前述した
従来のn型MOSFETの製造方法の場合と同様に、p
型シリコン基板11の表面に素子分離絶縁膜12を形成
し、次いでしきい値電圧を調整するためのイオン注入を
行なう。
First, as shown in FIG. 3A, as in the case of the conventional n-type MOSFET manufacturing method described above, p
An element isolation insulating film 12 is formed on the surface of the type silicon substrate 11, and then ion implantation for adjusting the threshold voltage is performed.

【0077】次に同図(a)に示すように、ゲート酸化
膜13を形成した後、このゲート酸化膜13上に導電性
不純物を含んだ多結晶シリコン膜14を形成する。
Next, as shown in FIG. 9A, after forming the gate oxide film 13, a polycrystalline silicon film 14 containing conductive impurities is formed on the gate oxide film 13.

【0078】次に図3(b)に示すように、多結晶シリ
コン膜14上にWSix 膜15をスパッタ法やCVD法
等の成膜法により形成する。このとき、WSix 膜15
のSi組成xは、2.0以上、望ましくは2.6程度に
する。
Next, as shown in FIG. 3B, a WSi x film 15 is formed on the polycrystalline silicon film 14 by a film forming method such as a sputtering method or a CVD method. At this time, the WSi x film 15
Si composition x is 2.0 or more, preferably about 2.6.

【0079】次に図3(c)に示すように、フォトリソ
グラフィ法を用いて、WSix 膜15、多結晶シリコン
膜14を所定のゲート電極の形状にパターニングする。
Next, as shown in FIG. 3C, the WSi x film 15 and the polycrystalline silicon film 14 are patterned into a predetermined gate electrode shape by photolithography.

【0080】次に図3(d)に示すように、酸素をAr
やN2 等の不活性気体で希釈した雰囲気中などの酸化速
度が遅くなる酸化雰囲気中で例えば厚さ10nm程度の
ごく薄い酸化膜16をゲート部表面および基板表面に形
成する。
Next, as shown in FIG. 3D, oxygen is replaced by Ar.
An extremely thin oxide film 16 having a thickness of, for example, about 10 nm is formed on the surface of the gate portion and the surface of the substrate in an oxidizing atmosphere in which the oxidation rate is slow such as an atmosphere diluted with an inert gas such as N 2 or N 2 .

【0081】次に図3(e)に示すように、全面にSi
イオンを注入して、WSix 膜15の上面にシリコンリ
ッチなシリコンイオン注入層17を形成する。
Next, as shown in FIG. 3 (e), Si is formed on the entire surface.
Ions are implanted to form a silicon-rich silicon ion implantation layer 17 on the upper surface of the WSi x film 15.

【0082】このとき、素子分離絶縁膜12やゲート部
以外の領域の基板表面には、上記イオン注入により、結
晶性の乱されたプリアモルファス領域18が形成され
る。
At this time, the pre-amorphous region 18 in which the crystallinity is disturbed is formed on the substrate surface in the region other than the element isolation insulating film 12 and the gate portion by the ion implantation.

【0083】次に図4(a)に示すように、ドーズ量3
×1013cm-2程度の条件で、全面にAsイオンを注入
して、浅い低濃度のソース・ドレイン領域19を形成す
る。このとき、Siのイオン注入により結晶性の乱され
たプリアモルファス領域18が形成されているため、A
sイオンが一定確率で結晶格子の間を通り抜けて基板の
深い部分にまで到達する(チャネリング)ことを防ぐこ
とができる。
Next, as shown in FIG. 4A, the dose amount is 3
As ions are implanted into the entire surface under the condition of × 10 13 cm -2 to form shallow low-concentration source / drain regions 19. At this time, since the preamorphous region 18 in which the crystallinity is disturbed by the Si ion implantation is formed, A
It is possible to prevent s ions from passing through between the crystal lattices with a certain probability to reach a deep portion of the substrate (channeling).

【0084】これは中性粒子を注入してアモルファス化
した後、導電型不純物イオンを注入して浅い拡散層をす
るというプリアモルファス化と呼ばれている方法であ
り、本実施形態の場合には、シリコンイオン注入層17
を形成する際のSiイオンの注入がアモルファス化のた
めの注入を兼ねている。
This is a method called pre-amorphization in which neutral particles are injected to amorphize and then conductivity type impurity ions are injected to form a shallow diffusion layer. In the case of the present embodiment, , Silicon ion implantation layer 17
The implantation of Si ions at the time of forming the film also serves as implantation for amorphization.

【0085】本実施形態では、n型MOSFETの製造
方法について説明しているので、Asをイオン種として
用いているが、この方法は、特にB(ホウ素)等の軽い
イオン種をイオン注入する際にチャネリングを防ぐ方法
として有効であることが知られており、したがって、p
型MOSFETを製造する場合に有効である。
In this embodiment, since a method for manufacturing an n-type MOSFET is described, As is used as an ion species. This method is used especially when ion implantation of a light ion species such as B (boron) is performed. Is known to be an effective method for preventing channeling, and therefore p
This is effective when manufacturing a type MOSFET.

【0086】次に図4(b)に示すように、全面にSi
2 、Si3 4 等のゲート側壁絶縁膜となる絶縁膜2
0を堆積した後、図4(c)に示すように、RIEなど
の異方性エッチングを用いてエッチバックすることで、
ゲート部の両側にゲート側壁絶縁膜20を形成する。な
お、絶縁膜20の代わりに多結晶シリコン膜等の導電膜
を使用しても良い。
Next, as shown in FIG. 4 (b), Si is formed on the entire surface.
Insulating film 2 for gate side wall insulating film such as O 2 and Si 3 N 4
After depositing 0, as shown in FIG. 4C, by etching back using anisotropic etching such as RIE,
A gate sidewall insulating film 20 is formed on both sides of the gate portion. A conductive film such as a polycrystalline silicon film may be used instead of the insulating film 20.

【0087】次に図4(d)に示すように、酸素雰囲気
中で800℃程度の熱処理を行なうことで、基板表面お
よびゲート部上面に厚さ10nm程度の酸化膜22を形
成する。
Next, as shown in FIG. 4D, a heat treatment is performed at about 800 ° C. in an oxygen atmosphere to form an oxide film 22 having a thickness of about 10 nm on the surface of the substrate and the upper surface of the gate portion.

【0088】この酸化のとき、ゲート部の露出したWS
x 膜15の表面には酸素リッチなシリコンイオン注入
層17層が形成されているため、第1の実施例の場合と
同様に、WSix 膜15の食い込みや組成変動が防止さ
れ、耐圧の低下やシート抵抗の増加を抑制できる。
At the time of this oxidation, the exposed WS of the gate portion
Since the oxygen-rich silicon ion-implanted layer 17 layer is formed on the surface of the i x film 15, the biting and composition change of the WSi x film 15 are prevented and the withstand voltage of the WSi x film 15 is prevented as in the case of the first embodiment. It is possible to suppress a decrease and an increase in sheet resistance.

【0089】上記酸化は、上記エッチバックの際に基板
表面等の損消を回復する目的や、後工程のイオン注入に
よる基板表面等の損消を防止する目的もある。
The oxidation also has the purpose of recovering the loss of the substrate surface and the like at the time of the etch back and the purpose of preventing the loss of the substrate surface and the like due to ion implantation in the subsequent step.

【0090】また、CMOS(相補型MOSFET)を
形成する場合のように、n型MOSFETの他にp型M
OSFETを形成する場合であれば、異なる型のイオン
種を打ち分けるとき、基板をレジストの汚染等から保護
する目的もある。
Further, as in the case of forming a CMOS (complementary MOSFET), in addition to the n-type MOSFET, a p-type M
In the case of forming an OSFET, there is also the purpose of protecting the substrate from resist contamination and the like when ion species of different types are separately implanted.

【0091】この後、図15(e)の従来のn型MOS
FETの工程と同様に、高濃度の深いソース・ドレイン
領域を形成し、ソース・ドレイン領域に注入した不純物
の活性化を行なった後、絶縁膜を全面に堆積し、所定の
領域にコンタクトホールを開孔し、最後に、Al等を主
成分とする配線を形成することにより、n型MOSFE
Tが完成する。
After that, the conventional n-type MOS shown in FIG.
Similar to the FET process, a high concentration deep source / drain region is formed, the impurities implanted in the source / drain region are activated, and then an insulating film is deposited on the entire surface to form a contact hole in a predetermined region. An n-type MOSFE is formed by forming a hole and finally forming a wiring containing Al as a main component.
T is completed.

【0092】(第3の実施形態)図5、図6は、本発明
の第3の実施形態に係るn型MOSFETの製造方法を
示す工程断面図である。
(Third Embodiment) FIGS. 5 and 6 are process sectional views showing a method for manufacturing an n-type MOSFET according to a third embodiment of the present invention.

【0093】まず、図5(a)に示すように、シリコン
基板21にBイオンを注入し、引続き熱拡散を行なうこ
とによって深さ1μm程度のp型ウェル22を形成す
る。
First, as shown in FIG. 5A, B ions are implanted into the silicon substrate 21 and then thermal diffusion is performed to form a p-type well 22 having a depth of about 1 μm.

【0094】次に同図(a)に示すように、所定の領域
に厚さ600nm程度の素子分離絶縁膜23としてのシ
リコン酸化膜を形成し、素子分離を行なう。
Next, as shown in FIG. 9A, a silicon oxide film as an element isolation insulating film 23 having a thickness of about 600 nm is formed in a predetermined region to perform element isolation.

【0095】次に図5(b)に示すように、厚さ10n
m程度の酸化膜24を形成した後、n型MOSFETの
しきい値電圧を調整するために、不純物イオン25を注
入する。
Next, as shown in FIG. 5B, the thickness is 10n.
After forming the oxide film 24 of about m, impurity ions 25 are implanted to adjust the threshold voltage of the n-type MOSFET.

【0096】次に図5(c)に示すように、酸化膜24
を剥離した後、厚さ10nm程度のゲート酸化膜26を
形成する。
Next, as shown in FIG. 5C, the oxide film 24
Then, a gate oxide film 26 having a thickness of about 10 nm is formed.

【0097】次に同図(c)に示すように、全面に厚さ
200nm程度の多結晶シリコン膜27を形成した後、
POCl3 中で850℃、60分程度の熱処理を行なう
ことにより、多結晶シリコン膜27中にPを導入する。
P濃度は約2×1020個/cm3 以上になるようにす
る。
Next, as shown in FIG. 9C, after a polycrystalline silicon film 27 having a thickness of about 200 nm is formed on the entire surface,
P is introduced into the polycrystalline silicon film 27 by performing a heat treatment in POCl 3 at 850 ° C. for about 60 minutes.
The P concentration should be about 2 × 10 20 pieces / cm 3 or more.

【0098】この場合、不純物元素の導入は気相ではな
く固相からの拡散により行なっても良いし、あるいはイ
オン注入により行なっても用いても良いが、これらの場
合もP濃度は約2×1020個/cm3 以上になるように
する。
In this case, the impurity element may be introduced by diffusion from the solid phase instead of the gas phase or by ion implantation. In these cases, the P concentration is about 2 ×. 10 20 pieces / cm 3 or more.

【0099】この後、例えば、希弗酸等の処理を行なう
ことにより、工程中に多結晶シリコン膜27上に形成さ
れた自然酸化膜等の酸化膜(不図示)を除去する。
Thereafter, for example, a treatment with dilute hydrofluoric acid or the like is performed to remove an oxide film (not shown) such as a natural oxide film formed on the polycrystalline silicon film 27 during the process.

【0100】次に同図(c)に示すように、WSix
ーゲットを用いたAr雰囲気でのスパッタ法や、CVD
法等の成膜法により、全面に厚さ200〜300nm程
度のWSix 膜28を形成する。
Next, as shown in FIG. 9C, a sputtering method in an Ar atmosphere using a WSi x target and a CVD method are used.
A WSi x film 28 having a thickness of about 200 to 300 nm is formed on the entire surface by a film forming method such as a method.

【0101】次に同図(c)に示すように、WSix
28の表面に酸素を加速電圧30KeV,ドーズ量約1
×1016cm-2の条件でイオン注入して、WSix 膜2
8の表面にW,Siおよび濃度が1×1021個/cm3
以上のOからなる酸化に対して安定な安定層29を形成
する。
Next, as shown in FIG. 10C, oxygen is accelerated on the surface of the WSi x film 28 at an acceleration voltage of 30 KeV and a dose of about 1.
Ion implantation was performed under the condition of × 10 16 cm -2 to form the WSi x film 2
W, Si and concentration of 1 × 10 21 pieces / cm 3 on the surface of No. 8
The stable layer 29 made of O and stable against oxidation is formed.

【0102】次に図5(d)に示すように、ゲート電極
またはゲート配線(以下、単にゲート電極という)を形
成するためのフォトレジストパターン30を形成した
後、図6(a)に示すように、このフォトレジストパタ
ーン30をマスクとして、安定層29、WSix 膜2
8、多結晶シリコン膜27をRIE等により異方性エッ
チングして、ゲート電極31を形成する。この後、同図
(a)に示すように、フォトレジストパターン30を炭
化して剥離する。
Next, as shown in FIG. 5D, after forming a photoresist pattern 30 for forming a gate electrode or a gate wiring (hereinafter, simply referred to as a gate electrode), as shown in FIG. 6A. Then, using the photoresist pattern 30 as a mask, the stable layer 29 and the WSi x film 2 are formed.
8. The polycrystalline silicon film 27 is anisotropically etched by RIE or the like to form the gate electrode 31. Thereafter, as shown in FIG. 3A, the photoresist pattern 30 is carbonized and peeled off.

【0103】次に図6(b)に示すように、O2 雰囲気
で800℃、10分程度の酸化を行なって、基板表面な
らびにゲート電極31の側面および上面に酸化膜32を
形成する。
Next, as shown in FIG. 6B, oxidation is performed in an O 2 atmosphere at 800 ° C. for about 10 minutes to form an oxide film 32 on the substrate surface and the side and upper surfaces of the gate electrode 31.

【0104】次に同図(b)に示すように、加速電圧6
0KeV、ドーズ量約1×1015cm-2の条件で全面に
Asをイオン注入して、ソース・ドレイン領域33を形
成する。
Next, as shown in FIG.
Source / drain regions 33 are formed by ion-implanting As into the entire surface under the conditions of 0 KeV and a dose amount of about 1 × 10 15 cm −2 .

【0105】次に図6(c)に示すように、O2 雰囲気
で900℃、60分程度の再酸化を行なって、熱酸化膜
34を形成する。酸化量は必要とするゲート耐圧によっ
て適宜決定する。
Next, as shown in FIG. 6C, reoxidation is performed at 900 ° C. for about 60 minutes in an O 2 atmosphere to form a thermal oxide film 34. The amount of oxidation is appropriately determined according to the required gate breakdown voltage.

【0106】ここで、図6(b)、図6(c)の酸化の
とき、WSix 膜28の表面に酸化に対して安定な安定
層29が形成されているので、WSix 膜28中のシリ
コンの消費を効果的に防止できるので、微細化を進めて
も、WSix 膜28の食い込みを効果的に抑制でき、耐
圧劣化を防止できるようになる。
[0106] Here, FIG. 6 (b), the time of oxidation of FIG. 6 (c), the so stable stable layer 29 on the surface against oxidation of the WSi x film 28 is formed, in WSi x film 28 Since it is possible to effectively prevent the consumption of silicon, it is possible to effectively suppress the biting of the WSi x film 28 and prevent the breakdown voltage from deteriorating even if the miniaturization is advanced.

【0107】さらに、WSix 膜28中のシリコンの消
費が防止されることから、組成変動も抑制され、所望組
成のWSix 膜28を容易に形成できる。したがって、
本実施形態によれば、正規組成に近いWSix 膜28を
容易に形成でき、これにより、シート抵抗の低いゲート
電極を実現できるようになる。
Further, since the consumption of silicon in the WSi x film 28 is prevented, the composition variation is suppressed and the WSi x film 28 having a desired composition can be easily formed. Therefore,
According to this embodiment, it is possible to easily form the WSi x film 28 close to the normal composition, and thereby to realize the gate electrode having a low sheet resistance.

【0108】ここで、安定層29は高濃度の酸素を含ん
でいるので、一見、酸化され易く、酸化に対して安定で
はないように思われるが、本発明者の研究によれば、以
下の実験結果に示すように、安定層29は酸化抑制の効
果を有することが明らかになった。
Here, since the stable layer 29 contains a high concentration of oxygen, it seems that it is easily oxidized and is not stable against oxidation. As shown in the experimental results, it was revealed that the stable layer 29 has an effect of suppressing oxidation.

【0109】図7は、ポリサイドゲートの高融点金属シ
リサイド膜38に酸化膜39を介してAsイオンを注入
した場合の様子を示す図である。
FIG. 7 is a diagram showing a state in which As ions are implanted into the refractory metal silicide film 38 of the polycide gate through the oxide film 39.

【0110】注入されたAsイオンと酸化膜39中の酸
素との反跳により、38中に酸素が注入され、高融点金
属シリサイド膜38の表面(高融点金属シリサイド膜3
8と酸化膜39の界面)には、高融点金属、酸素、シリ
コンからなる層40が形成される。
Due to the recoil between the implanted As ions and the oxygen in the oxide film 39, oxygen is implanted into 38, and the surface of the high melting point metal silicide film 38 (the high melting point metal silicide film 3
A layer 40 made of a refractory metal, oxygen, and silicon is formed on the interface between the oxide film 39 and the oxide film 39.

【0111】図8は、高融点金属シリサイド膜38に厚
さ約20nmの酸化膜39を介してAsイオンを注入し
た後、酸素雰囲気中で酸化を行なった場合の酸化時間と
酸化膜厚TOX(酸化速度)との関係を示す図である。図
8から、イオン注入により高融点金属シリサイド膜38
中に酸素が注入されることにより、酸化速度は増大する
ことが分かる。
FIG. 8 shows the oxidation time and the oxide film thickness T OX when the As ions are implanted into the refractory metal silicide film 38 through the oxide film 39 having a thickness of about 20 nm and then the oxidation is performed in an oxygen atmosphere. It is a figure which shows the relationship with (oxidation rate). From FIG. 8, refractory metal silicide film 38 is formed by ion implantation.
It can be seen that the injection rate of oxygen increases the oxidation rate.

【0112】図9は、図8における酸化膜39の初期酸
化膜厚(20nm)からの増分を示す図である。図9か
ら、ドーズ量が多い場合(1×1016cm-2)の方が、
ドーズ量が少ない場合(1×1015cm-3)よりも、酸
化膜厚の増加が少なく、酸化速度が遅くなることが分
る。
FIG. 9 is a diagram showing an increment from the initial oxide film thickness (20 nm) of the oxide film 39 in FIG. From FIG. 9, when the dose amount is large (1 × 10 16 cm −2 ),
It can be seen that the oxide film thickness is less increased and the oxidation rate is slower than when the dose amount is small (1 × 10 15 cm −3 ).

【0113】また、図10は、この場合の高融点金属シ
リサイド膜38中の酸素濃度の深さ方向の分布を示して
いる。図5から、ドーズ量が多い場合(1×1016cm
-2)には、高融点金属シリサイド膜38の表面には、高
濃度(1×1021個/cm3)の酸素が導入されている
ことが分かる。
FIG. 10 shows the oxygen concentration distribution in the depth direction in the refractory metal silicide film 38 in this case. From FIG. 5, when the dose amount is large (1 × 10 16 cm
-2 ) shows that high concentration (1 × 10 21 pieces / cm 3 ) of oxygen is introduced into the surface of the refractory metal silicide film 38.

【0114】したがって、図9、図10から、WSix
表面において高濃度(1×1021個/cm3 )の酸素が
導入されている場合、高融点金属、酸素,シリコンから
なる層40、つまり、本実施形態の安定層29は、酸化
速度の遅く、酸化に対して安定な層として機能すること
が分る。
[0114] Thus, FIG. 9, from Figure 10, WSi x
When a high concentration (1 × 10 21 pieces / cm 3 ) of oxygen is introduced on the surface, the layer 40 made of refractory metal, oxygen, and silicon, that is, the stable layer 29 of this embodiment, has a slow oxidation rate. , It can be seen that it functions as a stable layer against oxidation.

【0115】このようにAsイオンの注入により上記し
た安定層は形成されるがAsイオン以外のイオン、例え
ば、Arイオンやこれより質量の大きいイオン例えばG
eイオン等を注入することによっても形成される。
Although the above-mentioned stable layer is formed by implanting As ions in this manner, ions other than As ions, for example, Ar ions and ions having a larger mass than this, such as G
It is also formed by implanting e ions or the like.

【0116】次に図6(d)に示すように、全面に層間
絶縁膜35を堆積した後に、ソース・ドレイン領域33
上にコンタクトホール36を開孔する。最後に、同図
(d)に示すように、Al等の導電膜を全面に堆積した
後、この導電膜をパターニングしてソース・ドレイン電
極37を形成する。
Next, as shown in FIG. 6D, after depositing an interlayer insulating film 35 on the entire surface, the source / drain regions 33 are formed.
A contact hole 36 is opened above. Finally, as shown in FIG. 3D, after a conductive film such as Al is deposited on the entire surface, the conductive film is patterned to form the source / drain electrodes 37.

【0117】(第4の実施形態)図11、図12は、本
発明の第4の実施形態に係るn型MOSFETの製造方
法を示す工程断面図である。
(Fourth Embodiment) FIGS. 11 and 12 are process sectional views showing a method for manufacturing an n-type MOSFET according to a fourth embodiment of the present invention.

【0118】まず、図11(a)に示すように、シリコ
ン基板41にBイオンを注入し、引続き熱拡散を行なう
ことによって深さ1μm程度のp型ウェル42を形成す
る。次に同図(a)に示すように、所定の領域に厚さ6
00nm程度の素子分離絶縁膜43としてのシリコン酸
化膜を形成し、素子分離を行なう。
First, as shown in FIG. 11A, B ions are implanted into a silicon substrate 41, and then thermal diffusion is performed to form a p-type well 42 having a depth of about 1 μm. Then, as shown in FIG.
A silicon oxide film as an element isolation insulating film 43 having a thickness of about 00 nm is formed to perform element isolation.

【0119】次に図11(b)に示すように、厚さ10
nm程度の酸化膜44を形成し、n型MOSFETのし
きい値電圧を調整するために不純物イオン45を注入す
る。次に図11(c)に示すように、酸化膜44を剥離
した後、厚さ10nm程度のトンネル酸化膜46を形成
する。
Next, as shown in FIG. 11B, the thickness 10
An oxide film 44 of about nm is formed, and impurity ions 45 are implanted to adjust the threshold voltage of the n-type MOSFET. Next, as shown in FIG. 11C, after removing the oxide film 44, a tunnel oxide film 46 having a thickness of about 10 nm is formed.

【0120】この後、NH3 雰囲気中で1000℃、3
0秒程度の窒化処理を行ない、続いて1000℃、30
秒程度の再酸化処理を行なう。この窒化および再酸化処
理は電荷を注入した時にトンネル酸化膜の界面準位や酸
化膜中のトラップが増加するの抑制する効果がある。
After that, in an NH 3 atmosphere, 1000 ° C., 3
Nitriding is performed for about 0 seconds, then 1000 ° C, 30
Reoxidize for about 2 seconds. This nitriding and reoxidation treatment has an effect of suppressing an increase in the interface state of the tunnel oxide film and traps in the oxide film when charges are injected.

【0121】次に同図(c)に示すように、全面に厚さ
200nmの多結晶シリコン膜47を形成した後、PO
Cl3 中で850℃、60分程度の熱処理を行なうこと
により、多結晶シリコン膜47中にPを導入する。
Next, as shown in FIG. 9C, after a polycrystalline silicon film 47 having a thickness of 200 nm is formed on the entire surface, PO is formed.
By performing a heat treatment in Cl 3 at 850 ° C. for about 60 minutes, P is introduced into the polycrystalline silicon film 47.

【0122】次に同図(c)に示すように、多結晶シリ
コン膜47上に厚さ10nm程度の酸化膜48を熱処理
により形成した後、LPCVD法により厚さ10nmの
シリコン窒化(SiN)膜49を形成する。
Next, as shown in FIG. 9C, an oxide film 48 having a thickness of about 10 nm is formed on the polycrystalline silicon film 47 by heat treatment, and then a silicon nitride (SiN) film having a thickness of 10 nm is formed by LPCVD. 49 is formed.

【0123】次に同図(c)に示すように、次にシリコ
ン窒化膜49の表面に900℃、30分程度の酸化を行
なって酸化膜50を形成した後、この酸化膜50上に厚
さ200nmの多結晶シリコン膜51を形成し、続い
て、POCl3 雰囲気中で850℃、60分程度の熱処
理を行なうことにより、多結晶シリコン膜51中にPを
導入する。この後、例えば希弗酸等の処理を行なうこと
により、工程中に多結晶シリコン膜51上に形成された
自然酸化膜等の酸化膜を除去する。
Next, as shown in FIG. 10C, the surface of the silicon nitride film 49 is oxidized at 900 ° C. for about 30 minutes to form an oxide film 50, and then a thick film is formed on the oxide film 50. A polycrystalline silicon film 51 having a thickness of 200 nm is formed, and then heat treatment is performed in a POCl 3 atmosphere at 850 ° C. for about 60 minutes to introduce P into the polycrystalline silicon film 51. Thereafter, for example, a treatment with dilute hydrofluoric acid or the like is performed to remove an oxide film such as a natural oxide film formed on the polycrystalline silicon film 51 during the process.

【0124】次に同図(c)に示すように、WSix
ーゲットを用いてAr雰囲気でのスパッタ法や、CVD
法等の成膜法を用いて、多結晶シリコン膜51上に厚さ
300nm程度のWSix 膜52を形成する。
Next, as shown in FIG. 10C, a sputtering method and a CVD method in an Ar atmosphere using a WSi x target are used.
A WSi x film 52 having a thickness of about 300 nm is formed on the polycrystalline silicon film 51 by using a film forming method such as a method.

【0125】次に図11(d)に示すように、ゲート電
極を形成するためのフォトレジストパターン53を形成
した後、このフォトレジストパターン53をマスクとし
て、WSix 膜52、多結晶シリコン膜51、酸化膜5
0、シリコン窒化膜49、酸化膜48、多結晶シリコン
膜47をRIE等により異方性エッチングして、図12
(a)に示すように、ゲート電極54を形成する。
Next, as shown in FIG. 11D, after forming a photoresist pattern 53 for forming a gate electrode, using this photoresist pattern 53 as a mask, the WSi x film 52 and the polycrystalline silicon film 51 are formed. , Oxide film 5
0, the silicon nitride film 49, the oxide film 48, and the polycrystalline silicon film 47 are anisotropically etched by RIE or the like, as shown in FIG.
As shown in (a), the gate electrode 54 is formed.

【0126】より詳細には、多結晶シリコン膜47から
なるフローティングゲート電極、酸化膜48、シリコン
窒化膜49、酸化膜50からなるゲート電極間絶縁膜、
WSix 膜52、多結晶シリコン膜51からなるポリサ
イド構造のコントロールゲート電極を形成する。この
後、同図(a)に示すように、フォトレジストパターン
53を灰化して剥離する。
More specifically, a floating gate electrode made of a polycrystalline silicon film 47, an inter-gate electrode insulating film made of an oxide film 48, a silicon nitride film 49 and an oxide film 50,
A control gate electrode having a polycide structure composed of the WSi x film 52 and the polycrystalline silicon film 51 is formed. Thereafter, as shown in FIG. 4A, the photoresist pattern 53 is ashed and peeled off.

【0127】次に図12(b)に示すように、O2 雰囲
気で800℃、10分程度の酸化を行なって、基板表面
ならびにゲート電極54の側面および上面に酸化膜55
を形成した後、加速電圧60KeV,ドーズ量約1×1
16cm-2の条件で全面にAsイオンを注入して、ソー
ス・ドレイン領域56を形成する。
Next, as shown in FIG. 12B, oxidation is performed in an O 2 atmosphere at 800 ° C. for about 10 minutes to form an oxide film 55 on the surface of the substrate and the side and upper surfaces of the gate electrode 54.
After forming, the acceleration voltage is 60 KeV and the dose is about 1 × 1.
As ions are implanted into the entire surface under the condition of 0 16 cm -2 to form the source / drain regions 56.

【0128】このイオン注入のときに、高濃度のAsに
より反跳された酸化膜58中の酸素がWSix 膜52の
表面に取り込まれ、W,Si,Oからなる酸化に対して
安定な安定層57も形成される。安定層57のO濃度は
1×1021個/cm3 以上である。
At the time of this ion implantation, the oxygen in the oxide film 58 recoiled by the high concentration of As is taken into the surface of the WSi x film 52, and stable and stable against the oxidation of W, Si, and O. Layer 57 is also formed. The O concentration of the stable layer 57 is 1 × 10 21 pieces / cm 3 or more.

【0129】次に図12(c)に示すように、O2 雰囲
気で900℃、60分程度の酸化を行なって、熱酸化膜
58を形成する。酸化量は必要とするゲート耐圧によっ
て適宜決定する。
Next, as shown in FIG. 12C, oxidation is performed at 900 ° C. for about 60 minutes in an O 2 atmosphere to form a thermal oxide film 58. The amount of oxidation is appropriately determined according to the required gate breakdown voltage.

【0130】この酸化のとき、WSix 膜52の表面に
酸化に対して安定な安定層57が形成されているので、
WSix 膜52中のシリコンの消費を効果的に防止でき
るので、微細化を進めても、WSix 膜52の食い込み
を効果的に防止でき、耐圧の低下を抑制できるようにな
る。
At the time of this oxidation, since the stable layer 57 which is stable against oxidation is formed on the surface of the WSi x film 52,
Since the consumption of silicon in the WSi x film 52 can be effectively prevented, even if miniaturized, WSi x film 52 biting can be effectively prevented, and so the decrease in breakdown voltage can be suppressed.

【0131】次に図12(d)に示すように、全面に層
間絶縁膜59を堆積した後に、ソース・ドレイン領域5
6上にコンタクトホール60を開孔する。最後に、同図
(d)に示すように、Al等の導電膜を全面に堆積した
後、この導電膜をパターニングしてソース・ドレイン電
極61を形成する。
Next, as shown in FIG. 12D, after the interlayer insulating film 59 is deposited on the entire surface, the source / drain regions 5 are formed.
A contact hole 60 is opened on the surface 6. Finally, as shown in FIG. 3D, after a conductive film such as Al is deposited on the entire surface, the conductive film is patterned to form the source / drain electrodes 61.

【0132】(第5の実施形態)図13、図14は、本
発明の第5の実施形態に係るn型MOSFETの製造方
法を示す工程断面図である。
(Fifth Embodiment) FIGS. 13 and 14 are process sectional views showing a method for manufacturing an n-type MOSFET according to a fifth embodiment of the present invention.

【0133】まず、図13(a)に示すように、シリコ
ン基板71にBイオンを注入し、引続き熱拡散を行なう
ことによって深さ1μm程度のp型ウェル72を形成す
る。次に同図(a)に示すように、所定の領域に厚さ6
00nm程度の素子分離絶縁膜73としてのシリコン酸
化膜を形成し、素子分離を行なう。
First, as shown in FIG. 13A, B ions are implanted into the silicon substrate 71, and then thermal diffusion is performed to form a p-type well 72 having a depth of about 1 μm. Then, as shown in FIG.
A silicon oxide film as an element isolation insulating film 73 having a thickness of about 00 nm is formed to perform element isolation.

【0134】次に同図13(a)に示すように、厚さ1
0nm程度の酸化膜74を形成し、n型MOSFETの
しきい値電圧を調整するために不純物イオン75を注入
する。
Next, as shown in FIG. 13A, the thickness 1
An oxide film 74 of about 0 nm is formed, and impurity ions 75 are implanted to adjust the threshold voltage of the n-type MOSFET.

【0135】次に図13(b)に示すように、酸化膜7
4を剥離した後、厚さ10nm程度のトンネル酸化膜7
6を形成する。
Next, as shown in FIG. 13B, the oxide film 7
After peeling off 4, the tunnel oxide film 7 with a thickness of about 10 nm
6 is formed.

【0136】この後、NH3 雰囲気中で1000℃、3
0秒程度の窒化処理を行ない、続いて1000℃、30
秒程度の再酸化処理を行なう。この窒化および再酸化処
理は電荷を注入した時にトンネル酸化膜の界面準位や酸
化膜中のトラップが増加するのを抑制する効果がある。
Thereafter, in an NH 3 atmosphere, 1000 ° C., 3
Nitriding is performed for about 0 seconds, then 1000 ° C, 30
Reoxidize for about 2 seconds. This nitriding and reoxidation treatment has an effect of suppressing an increase in the interface state of the tunnel oxide film and traps in the oxide film when charges are injected.

【0137】次に同図(b)に示すように、全面に厚さ
200nmの多結晶シリコン膜77を形成した後、PO
Cl3 中で850℃、60分程度の熱処理を行なうこと
により、多結晶シリコン膜77中にPを導入する。
Next, as shown in FIG. 13B, after a polycrystalline silicon film 77 having a thickness of 200 nm is formed on the entire surface, PO is formed.
By performing a heat treatment in Cl 3 at 850 ° C. for about 60 minutes, P is introduced into the polycrystalline silicon film 77.

【0138】次に同図(b)に示すように、多結晶シリ
コン膜77上に厚さ10nm程度の酸化膜78を熱処理
により形成した後、LPCVD法により厚さ10nmの
シリコン窒化(SiN)膜79を形成する。
Next, as shown in FIG. 9B, an oxide film 78 having a thickness of about 10 nm is formed on the polycrystalline silicon film 77 by heat treatment, and then a silicon nitride (SiN) film having a thickness of 10 nm is formed by LPCVD. 79 is formed.

【0139】次に同図(b)に示すように、シリコン窒
化膜79の表面に900℃、30分程度の酸化を行なっ
て酸化膜80を形成した後、この酸化膜80上に厚さ2
00nmの多結晶シリコン膜81を形成し、続いて、P
OCl3 雰囲気中で850℃、60分程度の熱処理を行
なうことにより、多結晶シリコン膜81中にPを導入す
る。この後、例えば希弗酸等の処理を行なうことによ
り、工程中に多結晶シリコン膜81上に形成された自然
酸化膜等の酸化膜を除去する。
Next, as shown in FIG. 11B, after the surface of the silicon nitride film 79 is oxidized at 900 ° C. for about 30 minutes to form an oxide film 80, a thickness of 2 is formed on the oxide film 80.
A 00 nm polycrystalline silicon film 81 is formed, and then P
P is introduced into the polycrystalline silicon film 81 by performing a heat treatment at 850 ° C. for about 60 minutes in an OCl 3 atmosphere. Thereafter, for example, a treatment with dilute hydrofluoric acid or the like is performed to remove an oxide film such as a natural oxide film formed on the polycrystalline silicon film 81 during the process.

【0140】次に同図(b)に示すように、WSix
ーゲットを用いてAr雰囲気でのスパッタ法や、CVD
法等の成膜法を用いて、多結晶シリコン膜81上に厚さ
300nm程度のWSix 膜82を形成する。
Next, as shown in FIG. 10B, a sputtering method or a CVD method in an Ar atmosphere using a WSi x target is used.
A WSi x film 82 having a thickness of about 300 nm is formed on the polycrystalline silicon film 81 by using a film forming method such as a method.

【0141】次に13図(b)に示すように、ゲート電
極を形成するためのフォトレジストパターン83を形成
した後、このフォトレジストパターン83をマスクとし
て、WSix 膜82、多結晶シリコン膜81、酸化膜8
0、シリコン窒化膜79、酸化膜78、多結晶シリコン
膜77をRIE等により異方性エッチングして、図13
(c)に示すように、ゲート電極84を形成する。
Next, as shown in FIG. 13B, after forming a photoresist pattern 83 for forming a gate electrode, using this photoresist pattern 83 as a mask, the WSi x film 82 and the polycrystalline silicon film 81 are formed. , Oxide film 8
0, the silicon nitride film 79, the oxide film 78, and the polycrystalline silicon film 77 are anisotropically etched by RIE or the like.
As shown in (c), the gate electrode 84 is formed.

【0142】より詳細には、多結晶シリコン膜77から
なるフローティングゲート電極、酸化膜78、シリコン
窒化膜79、酸化膜80からなるゲート電極間絶縁膜、
WSix 膜82、多結晶シリコン膜81からなるポリサ
イド構造のコントロールゲート電極を形成する。この
後、同図(c)に示すように、フォトレジストパターン
83を灰化して剥離する。
More specifically, the floating gate electrode made of the polycrystalline silicon film 77, the inter-gate electrode insulating film made of the oxide film 78, the silicon nitride film 79, and the oxide film 80,
A control gate electrode having a polycide structure composed of the WSi x film 82 and the polycrystalline silicon film 81 is formed. Thereafter, as shown in FIG. 7C, the photoresist pattern 83 is ashed and peeled off.

【0143】次に図14(b)に示すように、CVD法
等を用いて基板表面ならびにゲート電極84の側面およ
び上面に酸化膜85を形成した後、加速電圧60Ke
V,ドーズ量約1×1016cm-2の条件で全面にAsを
イオン注入して、ソース・ドレイン領域86を形成す
る。
Next, as shown in FIG. 14B, an oxide film 85 is formed on the surface of the substrate and on the side surfaces and the upper surface of the gate electrode 84 by using the CVD method or the like, and then an accelerating voltage of 60 Ke is applied.
A source / drain region 86 is formed by ion-implanting As into the entire surface under the conditions of V and a dose amount of about 1 × 10 16 cm -2 .

【0144】このイオン注入のときに、高濃度のAsに
より反跳された酸化膜85中の酸素がWSix 膜82の
表面に取り込まれ、W,Si,Oからなる酸化に対して
安定な安定層87も形成される。安定層87のO濃度は
1×1021個/cm3 以上とする。
At the time of this ion implantation, oxygen in the oxide film 85 recoiled by high concentration As is taken into the surface of the WSi x film 82, and stable and stable against oxidation of W, Si, and O. Layer 87 is also formed. The O concentration of the stable layer 87 is 1 × 10 21 pieces / cm 3 or more.

【0145】次に図14(a)に示すように、O2 雰囲
気で900℃、60分程度の酸化を行なって、熱酸化膜
88を形成する。酸化量は必要とするゲート耐圧によっ
て適宜決定する。
Next, as shown in FIG. 14A, oxidation is performed at 900 ° C. for about 60 minutes in an O 2 atmosphere to form a thermal oxide film 88. The amount of oxidation is appropriately determined according to the required gate breakdown voltage.

【0146】この酸化のとき、WSix 膜82の表面に
酸化に対して安定な安定層87が形成されているので、
WSix 膜82中のシリコンの消費を効果的に防止でき
るので、微細化を進めても、WSix 膜82の食い込み
を効果的に防止でき、耐圧の低下を抑制できるようにな
る。
At the time of this oxidation, since the stable layer 87 which is stable against oxidation is formed on the surface of the WSi x film 82,
Since the consumption of silicon in the WSi x film 82 can be effectively prevented, even if miniaturized, it is possible to prevent biting of WSi x film 82 effectively, so that the decrease in breakdown voltage can be suppressed.

【0147】次に図14(c)に示すように、全面に層
間絶縁膜89を堆積した後に、ソース・ドレイン領域8
6上にコンタクトホール90を開孔する。最後に、同図
(c)に示すように、Al等の導電膜を全面に堆積した
後、この導電膜をパターニングしてソース・ドレイン電
極91を形成する。
Next, as shown in FIG. 14C, after depositing an interlayer insulating film 89 on the entire surface, the source / drain regions 8 are formed.
A contact hole 90 is opened on the surface 6. Finally, as shown in FIG. 3C, a conductive film of Al or the like is deposited on the entire surface, and then the conductive film is patterned to form the source / drain electrodes 91.

【0148】なお、本発明は上述した実施形態に限定さ
れるものではない。例えば、上記実施形態では、高融点
金属シリサイド膜としてWSix 膜を用いたが、その代
わりにMoSix 膜等の他の高融点金属シリサイド膜を
用いても良い。
The present invention is not limited to the above embodiment. For example, in the above embodiment, the WSi x film is used as the refractory metal silicide film, but other refractory metal silicide film such as a MoSi x film may be used instead.

【0149】また、第3〜第5の実施形態では、ポリサ
イドゲートとなるWSix 膜、多結晶シリコン膜をゲー
ト状に加工した後に、酸素イオンを注入して安定層を形
成したが、逆に、酸素イオンを注入して安定層を形成し
た後、WSix 膜、多結晶シリコン膜を加工しても良
い。
Further, in the third to fifth embodiments, the WSi x film and the polycrystalline silicon film to be the polycide gate are processed into a gate shape, and then oxygen ions are implanted to form a stable layer. Alternatively, after the oxygen ions are implanted to form the stable layer, the WSi x film and the polycrystalline silicon film may be processed.

【0150】上記実施形態では、n型MOSFETの場
合について説明したが、本発明はp型MOSFETにも
当然に適用できる。また、本発明は、MOSFETの電
極や配線以外の電極や配線にも適用できる。
In the above embodiment, the case of the n-type MOSFET has been described, but the present invention can naturally be applied to the p-type MOSFET. Further, the present invention can be applied to electrodes and wirings other than the electrodes and wirings of the MOSFET.

【0151】その他、本発明の要旨を逸脱しない範囲
で、種々変形して実施できる。
Besides, various modifications can be made without departing from the scope of the present invention.

【0152】[0152]

【発明の効果】以上詳述したように本発明によれば、微
細化を進めても、耐圧の低下を抑制できるシリコン膜上
に高融点金属シリサイド膜を積層した構造の電極(配
線)を実現できるようになる。
As described above in detail, according to the present invention, an electrode (wiring) having a structure in which a refractory metal silicide film is laminated on a silicon film, which can suppress the breakdown voltage even if the miniaturization is advanced, is realized. become able to.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1の実施形態に係るn型MOSFE
Tの製造方法を示す工程断面図
FIG. 1 is an n-type MOSFET according to a first embodiment of the present invention;
Process sectional drawing showing the manufacturing method of T

【図2】シリコンイオン注入層の効果を示す図FIG. 2 is a diagram showing an effect of a silicon ion implantation layer.

【図3】本発明の第2の実施形態に係るn型MOSFE
Tの製造方法を示す前半の工程断面図
FIG. 3 is an n-type MOSFE according to a second embodiment of the present invention.
Process sectional drawing of the first half showing the manufacturing method of T

【図4】本発明の第2の実施形態に係るn型MOSFE
Tの製造方法を示す後半の工程断面図
FIG. 4 is an n-type MOSFE according to a second embodiment of the present invention.
Process sectional drawing of the latter half showing the manufacturing method of T

【図5】本発明の第3の実施形態に係るn型MOSFE
Tの製造方法を示す前半の工程断面図
FIG. 5 is an n-type MOSFE according to a third embodiment of the present invention.
Process sectional drawing of the first half showing the manufacturing method of T

【図6】本発明の第3の実施形態に係るn型MOSFE
Tの製造方法を示す後半の工程断面図
FIG. 6 is an n-type MOSFE according to a third embodiment of the present invention.
Process sectional drawing of the latter half showing the manufacturing method of T

【図7】ポリサイドゲートの高融点金属シリサイド膜に
Asイオンを酸化膜を介して注入した場合の様子を示す
FIG. 7 is a diagram showing a state in which As ions are implanted into a refractory metal silicide film of a polycide gate through an oxide film.

【図8】ポリサイドゲートに後酸化を行なった場合の酸
化時間と酸化膜厚TOXとの関係を示す図
FIG. 8 is a diagram showing the relationship between the oxidation time and the oxide film thickness T ox when the polycide gate is post-oxidized.

【図9】高融点金属シリサイド膜に酸化膜を介してAs
イオンを注入した後、酸素雰囲気中で酸化を行なった場
合の酸化膜の初期酸化膜厚からの増分を示す図
FIG. 9: As through the oxide film on the refractory metal silicide film
Diagram showing the increment from the initial oxide film thickness of the oxide film when oxidizing in an oxygen atmosphere after implanting ions

【図10】図9の高融点金属シリサイド膜の深さ方向の
酸素濃度分布を示す図
10 is a diagram showing the oxygen concentration distribution in the depth direction of the refractory metal silicide film of FIG.

【図11】本発明の第4の実施形態に係るn型MOSF
ETの製造方法を示す前半の工程断面図
FIG. 11 is an n-type MOSF according to a fourth embodiment of the present invention.
Process sectional drawing of the first half showing the manufacturing method of ET

【図12】本発明の第4の実施形態に係るn型MOSF
ETの製造方法を示す後半の工程断面図
FIG. 12 is an n-type MOSF according to a fourth embodiment of the present invention.
Process sectional drawing of the latter half showing the manufacturing method of ET

【図13】本発明の第5の実施形態に係るn型MOSF
ETの製造方法を示す前半の工程断面図
FIG. 13 is an n-type MOSF according to a fifth embodiment of the present invention.
Process sectional drawing of the first half showing the manufacturing method of ET

【図14】本発明の第5の実施形態に係るn型MOSF
ETの製造方法を示す後半の工程断面図
FIG. 14 is an n-type MOSF according to a fifth embodiment of the present invention.
Process sectional drawing of the latter half showing the manufacturing method of ET

【図15】従来のポリサイドゲートを用いたn型MOS
FETの製造方法を示す工程断面図
FIG. 15 is an n-type MOS using a conventional polycide gate.
Process cross-sectional views showing a method for manufacturing an FET

【図16】高融点金属シリサイド膜としてWSix 膜を
用いた場合の各酸化膜厚TOXにおけるゲート線幅とSi
/Wとの関係を示す図
FIG. 16 shows the gate line width and Si at each oxide film thickness T OX when a WSi x film is used as the refractory metal silicide film.
Diagram showing the relationship with / W

【図17】ポリサイドゲートにおけるゲート耐圧の原因
を説明するための図
FIG. 17 is a diagram for explaining a cause of gate breakdown voltage in a polycide gate.

【符号の説明】[Explanation of symbols]

1…シリコン基板 2…素子分離絶縁膜 3…ゲート酸化膜 4…多結晶シリコン膜 5…WSix 膜 6…シリコンイオン注入層 7…シリコン酸化膜 11…シリコン基板 12…素子分離絶縁膜 13…ゲート酸化膜 14…多結晶シリコン膜 15…WSix 膜 16、16´…酸化膜 17…シリコンイオン注入層 18…プリアモルファス領域 19…低濃度のソース・ドレイン領域 20…ゲート側壁絶縁膜 21…シリコン基板 22…p型ウェル 23…素子分離絶縁膜 24…酸化膜 25…不純物イオン 26…ゲート酸化膜 27…多結晶シリコン膜 28…WSix 膜 29…安定層 30…フォトレジストパターン 31…ゲート電極 32…酸化膜 33…ソース・ドレイン領域 34…熱酸化膜 35…層間絶縁膜 36…コンタクトホール 37…ソース・ドレイン電極 41…シリコン基板 42…p型ウェル 43…素子分離絶縁膜 44…酸化膜 45…不純物イオン 46…トンネル酸化膜 47…多結晶シリコン膜 48…酸化膜 49…シリコン窒化膜 50…酸化膜 51…多結晶シリコン膜 52…WSix 膜 53…フォトレジストパターン 54…ゲート電極 55…酸化膜 56…ソース・ドレイン領域 57…安定層 58…熱酸化膜 59…層間絶縁膜 60…コンタクトホール 61…ソース・ドレイン電極1 ... silicon substrate 2 ... the element isolation insulating film 3 ... gate oxide film 4 ... polycrystalline silicon film 5 ... WSi x film 6 ... silicon ion implanted layer 7 ... silicon oxide film 11 ... silicon substrate 12 ... the element isolation insulating film 13 ... gate Oxide film 14 ... Polycrystalline silicon film 15 ... WSi x film 16, 16 '... Oxide film 17 ... Silicon ion implantation layer 18 ... Preamorphous region 19 ... Low concentration source / drain region 20 ... Gate sidewall insulating film 21 ... Silicon substrate 22 ... P-type well 23 ... Element isolation insulating film 24 ... Oxide film 25 ... Impurity ion 26 ... Gate oxide film 27 ... Polycrystalline silicon film 28 ... WSi x film 29 ... Stabilization layer 30 ... Photoresist pattern 31 ... Gate electrode 32 ... Oxide film 33 ... Source / drain region 34 ... Thermal oxide film 35 ... Interlayer insulating film 36 ... Contact hole 37 ... Source Drain electrode 41 ... Silicon substrate 42 ... P-type well 43 ... Element isolation insulating film 44 ... Oxide film 45 ... Impurity ion 46 ... Tunnel oxide film 47 ... Polycrystalline silicon film 48 ... Oxide film 49 ... Silicon nitride film 50 ... Oxide film 51 ... polycrystalline silicon film 52 ... WSi x film 53 ... photo-resist pattern 54 ... gate electrode 55 ... oxide film 56 ... drain region 57 ... stable layer 58 ... thermal oxide film 59 ... interlayer insulating film 60 ... contact hole 61 ... source・ Drain electrode

フロントページの続き (72)発明者 須黒 恭一 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内Front page continuation (72) Inventor Kyoichi Suguro No. 1 Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa Prefecture Corporate Research & Development Center

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】シリコン膜と、 このシリコン膜上に形成された高融点金属シリサイド膜
と、 この高融点金属シリサイド膜の表面に形成されたシリコ
ンイオン注入層とからなる配線および電極の少なくとも
一方を具備してなることを特徴とする半導体装置。
1. A wiring and an electrode comprising a silicon film, a refractory metal silicide film formed on the silicon film, and a silicon ion implantation layer formed on the surface of the refractory metal silicide film. A semiconductor device comprising:
【請求項2】シリコン膜上に高融点金属シリサイド膜を
形成し、この高融点金属シリサイド膜の表面にシリコン
をイオン注入する工程を有する配線および電極の少なく
とも一方を形成する工程と、 前記イオン注入の後に酸化処理を行なう工程とを有する
ことを特徴とする半導体装置の製造方法。
2. A step of forming a refractory metal silicide film on a silicon film, and forming at least one of a wiring and an electrode having a step of ion-implanting silicon on the surface of the refractory metal silicide film; And a step of performing an oxidation treatment after the step of manufacturing the semiconductor device.
【請求項3】シリコン膜と、 このシリコン膜上に形成された高融点金属シリサイド膜
と、 この高融点金属シリサイド膜の表面に形成され、シリコ
ン、前記高融点金属シリサイド膜を構成する高融点金属
および濃度が1×1021個/cm3 以上の酸素からなる
酸化に対して安定な安定層とからなる配線および電極の
少なくとも一方を具備してなることを特徴とする半導体
装置。
3. A silicon film, a refractory metal silicide film formed on the silicon film, and silicon, a refractory metal forming the refractory metal silicide film on the surface of the refractory metal silicide film. And a semiconductor device comprising at least one of a wiring and an electrode formed of a stable layer which is stable against oxidation and which is composed of oxygen having a concentration of 1 × 10 21 pieces / cm 3 or more.
【請求項4】シリコン膜上に高融点金属シリサイド膜を
形成し、この高融点金属シリサイド膜の表面に酸素をイ
オン注入して、酸化に対して安定な安定層を形成する工
程を有する配線および電極の少なくとも一方を形成する
工程と、 前記イオン注入の後に酸化処理を行なう工程とを有する
ことを特徴とする半導体装置の製造方法。
4. A wiring having a step of forming a refractory metal silicide film on a silicon film, and implanting oxygen into the surface of the refractory metal silicide film to form a stable layer stable against oxidation. A method of manufacturing a semiconductor device, comprising: a step of forming at least one of electrodes; and a step of performing an oxidation treatment after the ion implantation.
【請求項5】基板上にシリコン膜を形成する工程と、 このシリコン膜上に高融点金属シリサイド膜を形成する
工程と、 前記シリコン膜および高融点金属シリサイド膜を加工し
て、配線および電極の少なくとも一方を形成する工程
と、 前記加工の前または後に、前記高融点金属シリサイド膜
の表面に酸素をイオン注入して、前記高融点金属シリサ
イド膜の表面に酸化に対して安定な安定層を形成する工
程と、 前記イオン注入の後に酸化処理を行なう工程とを有する
ことを特徴とする半導体装置の製造方法。
5. A step of forming a silicon film on a substrate, a step of forming a refractory metal silicide film on the silicon film, a step of processing the silicon film and the refractory metal silicide film to form wiring and electrodes. Forming at least one of them, and before or after the processing, oxygen is ion-implanted into the surface of the refractory metal silicide film to form a stable layer against oxidation on the surface of the refractory metal silicide film. And a step of performing an oxidation treatment after the ion implantation, a method of manufacturing a semiconductor device.
【請求項6】基板上にシリコン膜を形成する工程と、 このシリコン膜上に高融点金属シリサイド膜を形成する
工程と、 前記シリコン膜および高融点金属シリサイド膜を加工し
て、配線および電極の少なくとも一方を形成する工程
と、 前記加工の前または後に、前記高融点金属シリサイド膜
上に酸化膜を形成し、この酸化膜を介して、前記高融点
金属シリサイド膜の表面に酸素をイオン注入して、前記
高融点金属シリサイド膜の表面に酸化に対して安定な安
定層を形成する工程と、 前記イオン注入の後に酸化処理を行なう工程とを有する
ことを特徴とする半導体装置の製造方法。
6. A step of forming a silicon film on a substrate, a step of forming a refractory metal silicide film on the silicon film, a step of processing the silicon film and the refractory metal silicide film to form wiring and electrodes. A step of forming at least one, and before or after the processing, an oxide film is formed on the refractory metal silicide film, and oxygen is ion-implanted into the surface of the refractory metal silicide film through the oxide film. And a step of forming a stable layer that is stable against oxidation on the surface of the refractory metal silicide film, and a step of performing an oxidation treatment after the ion implantation, a method of manufacturing a semiconductor device.
JP8021237A 1996-02-07 1996-02-07 Semiconductor device and manufacture thereof Pending JPH09213655A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8021237A JPH09213655A (en) 1996-02-07 1996-02-07 Semiconductor device and manufacture thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8021237A JPH09213655A (en) 1996-02-07 1996-02-07 Semiconductor device and manufacture thereof

Publications (1)

Publication Number Publication Date
JPH09213655A true JPH09213655A (en) 1997-08-15

Family

ID=12049448

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8021237A Pending JPH09213655A (en) 1996-02-07 1996-02-07 Semiconductor device and manufacture thereof

Country Status (1)

Country Link
JP (1) JPH09213655A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000294775A (en) * 1999-04-07 2000-10-20 Sony Corp Manufacture of semiconductor device
JP2001094105A (en) * 1999-08-17 2001-04-06 Samsung Electronics Co Ltd Method for manufacturing gate of semiconductor device curing damages of gate oxide film
JP2007258743A (en) * 1998-12-29 2007-10-04 Hynix Semiconductor Inc Method of forming gate electrode in semiconductor device
CN115108560A (en) * 2022-06-14 2022-09-27 深圳市德方纳米科技股份有限公司 Silicon oxide and method for regulating and controlling silicon-oxygen ratio in silicon oxide and application of silicon oxide

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007258743A (en) * 1998-12-29 2007-10-04 Hynix Semiconductor Inc Method of forming gate electrode in semiconductor device
JP2000294775A (en) * 1999-04-07 2000-10-20 Sony Corp Manufacture of semiconductor device
JP2001094105A (en) * 1999-08-17 2001-04-06 Samsung Electronics Co Ltd Method for manufacturing gate of semiconductor device curing damages of gate oxide film
CN115108560A (en) * 2022-06-14 2022-09-27 深圳市德方纳米科技股份有限公司 Silicon oxide and method for regulating and controlling silicon-oxygen ratio in silicon oxide and application of silicon oxide
CN115108560B (en) * 2022-06-14 2024-01-30 深圳市德方纳米科技股份有限公司 Silicon oxide and method for regulating and controlling silicon-oxygen ratio in silicon oxide and application thereof

Similar Documents

Publication Publication Date Title
US8415213B2 (en) Method of manufacturing semiconductor device with offset sidewall structure
US6331468B1 (en) Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
US6475888B1 (en) Method for forming ultra-shallow junctions using laser annealing
JP3077630B2 (en) Semiconductor device and manufacturing method thereof
KR100440840B1 (en) Method of manufacturing semiconductor device and semiconductor device
US6743704B2 (en) Method of manufacturing a semiconductor device
EP0459398B1 (en) Manufacturing method of a channel in MOS semiconductor devices
JP4846167B2 (en) Manufacturing method of semiconductor device
US7015107B2 (en) Method of manufacturing semiconductor device
JPH0594968A (en) Semiconductor device and manufacture thereof
JP3518122B2 (en) Method for manufacturing semiconductor device
JPH08321613A (en) Method of manufacturing semiconductor device
JPH09213655A (en) Semiconductor device and manufacture thereof
JPH07283400A (en) Semiconductor device and its manufacture
JPH01259560A (en) Semiconductor integrated circuit device
JPH0147016B2 (en)
KR100223736B1 (en) Method of manufacturing semiconductor device
KR0156156B1 (en) Method of fabricating semiconductor device
JPH09213941A (en) Semiconductor device and manufacture of semiconductor device
JP2746100B2 (en) Method for manufacturing semiconductor device
JPH08330441A (en) Manufacture of mos transistor
JPH05335503A (en) Manufacture of semiconductor device
JP3240991B2 (en) Semiconductor device and manufacturing method thereof
JP3277912B2 (en) Method for manufacturing semiconductor device
JPS628028B2 (en)