FR3064816A1 - Procede de fabrication de ligne de transistors mos - Google Patents

Procede de fabrication de ligne de transistors mos Download PDF

Info

Publication number
FR3064816A1
FR3064816A1 FR1752859A FR1752859A FR3064816A1 FR 3064816 A1 FR3064816 A1 FR 3064816A1 FR 1752859 A FR1752859 A FR 1752859A FR 1752859 A FR1752859 A FR 1752859A FR 3064816 A1 FR3064816 A1 FR 3064816A1
Authority
FR
France
Prior art keywords
grids
transistors
strip
sacrificial
portions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR1752859A
Other languages
English (en)
Other versions
FR3064816B1 (fr
Inventor
Loic Gaben
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics Crolles 2 SAS
Original Assignee
STMicroelectronics Crolles 2 SAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by STMicroelectronics Crolles 2 SAS filed Critical STMicroelectronics Crolles 2 SAS
Priority to FR1752859A priority Critical patent/FR3064816B1/fr
Priority to US15/942,540 priority patent/US10468306B2/en
Publication of FR3064816A1 publication Critical patent/FR3064816A1/fr
Application granted granted Critical
Publication of FR3064816B1 publication Critical patent/FR3064816B1/fr
Priority to US16/582,576 priority patent/US10998236B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4885Wire-like parts or pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

L'invention concerne un procédé de fabrication de transistors MOS (T1, T2, T3, T4, T5) disposés en ligne, comprenant les étapes successives suivantes : réaliser au moins une bande (F) en un matériau semiconducteur ; recouvrir des portions longitudinales de même longueur de ladite bande de grilles sacrificielles en un matériau isolant, ces grilles étant espacées ; doper les portions non recouvertes de ladite bande ; déposer une couche isolante puis une couche d'un matériau temporaire sur la structure obtenue ; laisser en place certaines grilles sacrificielles (B1, B2) et réaliser à la place des autres les grilles (G1, G2, G3, G4, G5) des transistors en déposant successivement un isolant de grille (I) et un conducteur de grille (C) ; et remplacer le matériau temporaire par un matériau conducteur constituant les électrodes de drain (D1) et de source (S1, S2, S3, S4, S5) des transistors.

Description

Domaine
La présente demande concerne un procédé de fabrication de transistors à grille entourante et plus particulièrement un procédé de fabrication d'une ligne de transistors à grille entourante.
Exposé de l'art antérieur
Un transistor MOS à grille entourante est un transistor MOS dont la région de canal est entourée d'une couche d'un isolant de grille puis d'une couche conductrice. La région de canal d'un transistor de ce type est donc pleinement utilisée.
On connaît divers procédés de fabrication de transistors MOS à grille entourante de divers types. Ces procédés nécessitent généralement un grand nombre d'étapes pour la fabrication des transistors et des zones isolantes entre transistors ou groupes de transistors.
On propose donc ici un procédé de fabrication d'une ligne de transistors MOS à grille entourante minimisant son nombre d'étapes de fabrication.
Résumé
0 Ainsi, un mode de réalisation prévoit un procédé de fabrication de transistors MOS disposés en ligne comprenant les étapes successives suivantes : a) réaliser au moins une bande en
B15679 - 16-GR3-0693 un matériau parallèlement semiconducteur à celui-ci ;
au-dessus d'un substrat et
b) recouvrir des portions longitudinales de même longueur de ladite bande de grilles sacrificielles en un matériau isolant, ces grilles étant espacées ; c) doper les portions non recouvertes de ladite bande ; d) déposer une couche isolante puis une couche d'un matériau temporaire sur la structure obtenue ; e) laisser en place certaines grilles sacrificielles et réaliser à la place des autres les grilles des transistors en déposant successivement un isolant de grille et un conducteur de grille ; et f) remplacer le matériau temporaire par un matériau conducteur constituant les électrodes de drain et de source des transistors.
Selon un mode de réalisation, les grilles sacrificielles ont des hauteurs différentes.
Selon un mode de réalisation, les grilles sacrificielles de hauteurs différentes sont formées par des lithographies successives.
Selon un mode de réalisation, les grilles sacrificielles de hauteurs différentes sont formées par photolithographie en utilisant des doses différentes d'exposition à un faisceau.
Selon un mode de réalisation, l'étape c) comprend les étapes successives suivantes : retirer les portions non entourées de ladite bande ; et faire croître par épitaxie à partir desdites portions des excroissances en un matériau semiconducteur dopé.
Selon un mode de réalisation, le procédé comprend en outre, entre les étapes b) et c) , une étape g) de formation d'espaceurs protégeant les faces latérales des grilles sacrificielles.
Selon un mode de réalisation, les grilles sacrificielles laissées en place sont protégées par des masques.
Selon un mode de réalisation, les grilles sacrificielles ont une largeur comprise entre 2 et 50 nm et sont espacées d'un pas inférieur à 100 nm.
Selon un mode de réalisation, le substrat est en silicium massif.
B15679 - 16-GR3-0693
Selon un mode de réalisation, le substrat est une couche de silicium sur oxyde.
Selon un mode de réalisation, ladite bande est une ailette.
Selon un mode de réalisation, ladite bande comprend au moins un fil suspendu.
Selon un mode de réalisation, les grilles sacrificielles sont formées à partir d'hydrogénosilsesquioxane.
Selon un mode de réalisation, l'isolant de grille est en oxyde d'hafnium, et le conducteur de grille et ledit matériau conducteur sont en tungstène.
Un autre mode de réalisation prévoit des transistors MOS disposés en ligne dont les grilles sont formées par des portions couvertes d'un matériau isolant de grille et d'un métal d'une bande en un matériau semiconducteur et dont les drains et les sources sont formées par des portions dopées de ladite bande commune, des couches de matériau isolant recouvrant des portions non dopées de ladite bande commune isolant électriquement des transistors ou des groupes de transistors en série entre eux. Brève description des dessins
Ces caractéristiques et avantages, ainsi que d'autres, seront exposés en détail dans la description suivante de modes de réalisation particuliers faite à titre non limitatif en relation avec les figures jointes parmi lesquelles :
les figures IA à IN illustrent des étapes successives d'un mode de réalisation d'un procédé de fabrication d'une ligne de transistors à grille entourante ;
la figure 2 illustrent un mode de réalisation d'une ligne de transistors à grille entourante ;
les figures 3A à 3D illustrent des étapes d'une variante de réalisation du procédé des figures IA à IN ;
les figures 4A à 4C illustrent des étapes d'une autre variante de réalisation du procédé des figures IA à IN ; et la figure 5 illustre une étape d'une mode de réalisation d'un transistor de type FinFET.
B15679 - 16-GR3-0693
Description détaillée
De mêmes éléments ont été désignés par de mêmes références dans les différentes figures. Par souci de clarté, seuls les éléments utiles à la compréhension des modes de réalisation décrits ont été représentés et sont détaillés.
Dans la description qui suit, lorsque l'on fait référence à des qualificatifs de position, tel que les termes haut, au-dessus, inférieur, supérieur, etc., ou à des qualificatifs d'orientation, tels que les termes horizontal, vertical, etc., il est fait référence à l'orientation des éléments dans les figures. Sauf précision contraire, l'expression de l'ordre de signifie à 10 % près, de préférence à 5 % près.
Les figures IA à IN illustrent les étapes d'un mode de réalisation d'un procédé de fabrication d'une ligne de transistors MOS à grille entourante. Ce procédé va être décrit dans le cas de la fabrication de transistors MOS à canal N mais peut également s'appliquer à la fabrication de transistors MOS à canal P.
A l'étape de la figure IA, on a déposé sur un substrat semiconducteur 1 des couches monocristallines successives 3, 5, 7 et 9. Le substrat 1 est par exemple en silicium. Les couches 3 et 7 sont en un même matériau et les couches 5 et 9 sont aussi en un même matériau mais différent de celui des couches 3 et 7. Le matériau des couches 3 et 7 est sélectivement gravable par rapport à celui des couches 5 et 9. Les couches 3 et 7 sont par exemple en silicium-germanium comprenant par exemple 70 % de silicium et de 30 % de germanium. Les couches 5 et 9 sont par exemple en silicium intrinsèque ou faiblement dopé de type P. A titre de variante, les couches 5 et 9 pourraient être faiblement dopées de type N. Les couches 3 et 7 sont par exemple de même épaisseur, par exemple comprise entre 5 et 10 nm. Les couches 5 et 9 sont de même épaisseur, par exemple comprise entre 5 et 20 nm, par exemple entre 3 et 7 nm. A titre de variante, les couches 3 et 7 pourraient être en silicium et les couches 5 et 9 pourraient être en silicium-germanium faiblement dopé.
B15679 - 16-GR3-0693
Les couches 3, 5, 7 et 9 sont gravées de façon à former en vue de dessus une bande 11 reliée à chacune de ses extrémités à des plots 13A et 13B par exemple de forme rectangulaire ou carrée. Les plots 13A et 13B sont plus larges que la bande 11. La bande 11 a par exemple une largeur comprise par exemple entre 5 et 50 nm, de l'ordre de 25 nm et une longueur comprise par exemple entre 50 et 500 nm, de l'ordre de 300 nm.
A l'étape de la figure IB, les couches 3 et 7 sont sélectivement gravées par rapport aux couches 5 et 9. Les couches 5 et 9 forment ainsi des nano-fils ou nano-rubans suspendus 15A et 15B, désignés par les fils 15A et 15B dans la suite de la description. Les couches 3 et 7 sont gravées par exemple par gravure humide. Les dimensions des plots 13A et 13B sont adaptées à ce que des portions 3A, 3B, 7A et 7B des couches 3 et 7 subsistent au centre de ces plots après gravure. Les portions 3A, 3B, 7A et 7B forment un support pour les fils 15A et 15B. Les plots 13A et 13B ont par exemple des côtés de dimensions comprises entre 100 et 200 nm, de l'ordre de 150 nm.
Un avantage de ce procédé est de permettre la création de nano-fils présentant une forte résistance mécanique et dont la longueur peut aller jusqu'à 1 pm. De plus, il est possible de créer des chaînes de nano-fils en formant plusieurs structures, comme celle présentée en figure IA, reliées deux à deux par un plot commun.
Il est clair pour l'homme de l'art qu'il est possible avec ce procédé de produire un seul ou plus de deux fils semiconducteurs suspendus les uns au-dessus des autres.
Pour des raisons de simplification des figures, les plots 13A et 13B ne sont pas représentés en figures IC à IN.
A l'étape de la figure IC, on a entouré des portions des fils 15A et 15B, destinées à devenir des régions de canal, de grilles sacrificielles isolantes 17. Les grilles sacrificielles 17 sont des plaques verticales en un matériau isolant électriquement, par exemple en oxyde de silicium. Les grilles sacrificielles 17 recouvrent des portions de même longueur des
B15679 - 16-GR3-0693 fils 15A et 15B et sont espacées d'un pas constant p. Le pas p est par exemple inférieur à 100 nm. Les grilles sacrificielles ont une largeur par exemple comprise entre 2 et 50 nm, de l'ordre de 10 nm et une hauteur comprise entre 100 et 250 nm, de l'ordre de 200 nm.
Pour réaliser les grilles sacrificielles 17, une couche d'une résine photosensible et/ou sensible à un faisceau d'électrons est déposée sur la structure de la figure IB. On choisit une résine qui, une fois irradiée, se transforme en un matériau isolant, comme par exemple de 1'hydrogénosilsesquioxane (HSQ) qui se transforme en oxyde de silicium une fois irradiée. La résine est irradiée par exemple par un faisceau d'électrons ou par un faisceau ultraviolet suivant le motif voulu, selon des lignes perpendiculaires aux lignes de transistors en cours de fabrication. La résine non transformée est ensuite éliminée par gravure sélective pour ne laisser que les motifs apparents.
A l'étape de la figure ID, on dépose de façon conforme une couche isolante 19 sur la structure. La couche 19 se dépose sur les parois horizontales et verticales des grilles sacrificielles 17, entoure les portions apparentes des fils 15A et 15B et comble les espaces entre ces fils. La couche 19 est par exemple en nitrure de silicium. La couche 19 a une épaisseur comprise par exemple entre 1 et 20 nm, de l'ordre de 5 nm. Les parties verticales de la couche 19 revêtant les parois verticales des grilles sacrificielles 17 constituent des espaceurs 21.
A l'étape de la figure 1E, on grave successivement par des procédés sélectifs de gravure anisotrope verticale :
- les portions de la couche 19 reposant sur la paroi supérieure des grilles sacrificielles 17 et sur la face supérieure accessible du fil 15A ;
- les portions découvertes du fil 15A ; et
- les portions de couche 19 présentes sur la face supérieure accessible du fil 15B, une portion supérieure des fils 15B étant éventuellement gravée au cours de ces étapes.
B15679 - 16-GR3-0693
Pour un nombre plus élevé de fils suspendus il faudra répéter ces opérations jusqu'à ce qu'il ne reste qu'un seul fil apparent. Dans le cas où on n'utilise qu'un seul fil suspendu, ce fil peut être gravé partiellement.
A l'étape de la figure 1F, on fait croître par épitaxie sélective des excroissances semiconductrices dopées 23. Les excroissances 23 croissent à partir des faces supérieures accessibles des fils 15B et des faces latérales accessibles des portions des fils 15A, et s'étendent entre des espaceurs 21 opposés. Les excroissances 23 sont dopées de type N, dans le cas de transistor à canal N et sont par exemple en silicium dopé au phosphore. Dans le cas de transistor à canal P, les excroissances 23 sont dopées de type P et sont par exemple en silicium-germanium dopé au bore. Les excroissances 23 formeront les régions de drains et de sources des transistors en cours de fabrication.
A l'étape de la figure IG, une couche d'arrêt de gravure 25 est déposée de façon conforme sur la structure. La couche 25 est par exemple en nitrure de silicium et a une épaisseur comprise entre 1 et 20 nm, de l'ordre de 5 nm.
A l'étape de la figure 1H, la structure est totalement recouverte d'une couche 27 d'un matériau temporaire, par exemple en silicium polycristallin qui remplit les vides existants dans la structure. La structure est ensuite aplanie par exemple par un procédé de polissage mécano-chimique (CMP) jusqu'à la couche d'arrêt de gravure 25. Des portions de la couche d'arrêt de gravure 25 positionnées sur l'extrémité haute des grilles sacrificielles sont gravées et les parties supérieures des grilles sacrificielles sont rendues accessibles.
A l'étape de la figure II, certaines grilles sacrificielles 17 sont retirées et d'autres sont laissées en place. Les grilles sacrificielles 17 laissées en place permettront d'isoler électriquement entre eux des transistors ou groupes de transistors d'une même ligne. Les grilles sacrificielles 17 à laisser en place ont été recouvertes de masques protecteurs 29. Le matériau des grilles sacrificielles 17 est sélectivement
B15679 - 16-GR3-0693 gravable par rapport au matériau constituant les masques 29. Les masques 29 empêchent ainsi une gravure non désirée des grilles sacrificielles qu'ils recouvrent. Les masques 29 sont par exemple en nitrure de silicium. Les grilles sacrificielles 17 retirées le sont par exemple par gravure humide, par de l'acide fluorhydrique. Une fois l'opération de gravure des grilles sacrificielles terminée, les masques 29 sont retirés par gravure sélective. A titre de variante, les masques 29 peuvent rester en place.
A titre de variante, des portions inférieures du matériau des grilles sacrificielles pourraient être laissées sous les fils inférieurs des transistors en cours de formation afin d'isoler le futur conducteur de grille du substrat.
A l'étape de la figure IJ, on procède à un dépôt conforme sur toute la structure d'une couche 31 d'un isolant de grille. La couche 31 entoure les portions des fils 15A et 15B et recouvre les parois horizontales et verticales de la structure. L'isolant de grille 31 est de préférence un matériau à forte permittivité, par exemple de l'oxyde d'hafnium de permittivité comprise entre 25 et 40. La couche 31 d'isolant de grille a par exemple une épaisseur inférieure à 3 nm.
A l'étape de la figure 1K, une couche conductrice 35 est déposée sur la structure de façon conforme pour remplir les vides existants dans la structure. De façon classique, le dépôt de la couche conductrice 35 est précédé du dépôt d'une couche d'adhérence 33. La couche conductrice remplit entièrement l'espace laissé par les grilles sacrificielles 17. La couche conductrice 35 est par exemple une couche métallique, par exemple en tungstène. La couche 35 forme les conducteurs de grille des transistors en cours de fabrication. La couche d'adhérence 33 est par exemple en nitrure de titane.
A l'étape de la figure IL, les portions de la couche d'isolant de grille 31, de la couche d'adhérence 33 et de la couche conductrice 35 reposant sur la face supérieure de la structure de la figure II sont gravées, par exemple par CMP. Ainsi
B15679 - 16-GR3-0693 les extrémités hautes des grilles sacrificielles 17 laissées en place à la figure II sont découvertes.
De plus, à l'étape de la figure IL, on forme des cavités dans la partie supérieure des grilles des transistors en cours de fabrication et on les remplit de bouchons isolants 37. Pour former ces cavités, on grave partiellement la couche d'adhérence 33 et la couche conductrice 35. Les cavités ont par exemple une profondeur comprise entre 10 et 50 nm, de l'ordre de 20 nm. On forme ensuite dans les cavités les bouchons 37 en un matériau isolant, par exemple du nitrure de silicium.
A l'étape de la figure IM, on retire le matériau temporaire 27. Pour cela on réalise par exemple une gravure humide sélective. Dans le cas où le matériau temporaire est du silicium polycristallin, on peut utiliser une gravure humide à 1'hydroxyde de tétraméthylammonium (TMAH) .
A l'étape de la figure IN, on forme les contacts de sources et de drains des transistors en cours de fabrication. Pour cela, on réalise successivement les opérations suivantes :
- graver les portions de la couche d'arrêt de gravure 25 recouvrant les excroissances 23.
- effectuer une siliciuration de la structure obtenue, par exemple avec un siliciure de nickel/platine ou un siliciure de titane ;
- recouvrir la structure d'un matériau conducteur 39, par exemple un métal, par exemple du tungstène ; et
- aplanir la surface supérieure de la structure, par exemple en effectuant un polissage mécano-chimique, afin de déconnecter les bornes des transistors.
Un avantage du procédé décrit en relation avec les figures IA à IN est qu'il optimise le nombre d'étapes de fabrication en utilisant des grilles sacrificielles pour l'isolation des transistors ou groupes de transistors entre eux au lieu de créer des nouvelles bandes isolantes spécifiques.
Selon une variante, il reste possible en fin de procédé, de retirer les portions de nano-fils restantes dans les grilles
B15679 - 16-GR3-0693 sacrificielles, par exemple en les gravant après avoir retiré les grilles sacrificielles.
Selon une autre variante, il est possible de former à la place de certaines grilles sacrificielles de nouvelles régions de grille de transistor MOS, par exemple en utilisant des matériaux d'isolant de grille et de conducteur de grille différents que ceux mentionnés précédemment. Des matériaux différents permettront d'obtenir par exemple des tensions de seuil différentes ou une consommation d'énergie plus basse.
La figure 2 illustre de façon extrêmement schématique une ligne de transistors produite par le procédé décrit en relation avec les figures IA à IN. On a formé autour d'un ou plusieurs fils semiconducteurs F dopés faiblement, des transistors Tl, T2-T3, T4-T5 séparés par des bandes isolantes B1 et B2. Les fils F correspondent aux fils 15A et 15B et aux excroissances 23 des figures 1F à IN. Les bandes isolantes B1 et B2 correspondent aux grilles sacrificielles 17 laissées en place des figures II à IN. Chaque transistor Tl, T2, T3, T4 et T5 a une grille Gl, G2, G3, G4 et G5 entourante formée d'un isolant de grille I et d'un conducteur de grille C entourant une région de canal formée par une portion des fils F. L'isolant de grille I correspond à la couche d'isolant de grille 31 des figures IJ à IN. Le conducteur de grille C correspond à la couche conductrice 35 des figures 1K à IN. Chaque transistor Tl, T2, T3, T4 et T5 a une source SI, S2, S3, S4 et S5 et un drain Dl, D2, D3, D4 et D5 formés par des portions dopées des fils F. Les portions dopées des fils F correspondent aux excroissances 23 des figures 1F à IN.
L'exemple de ligne de transistors formée par les étapes IA à IN présente les caractéristiques suivantes :
- le transistor Tl est isolé électriquement du transistor T2 par la bande isolante B1 ;
- les transistors T2 et T3 sont connectés en série ;
- le transistor T3 est isolé électriquement du transistor T4 par la bande isolante B2 ; et
- les transistors T4 et T5 sont connectés en série.
B15679 - 16-GR3-0693
De plus, les bandes isolantes Bl et B2 sont formées autour de portions des fils F.
Les figures 3A à 3D illustrent des étapes d'une variante de réalisation du procédé de fabrication d'une ligne de transistors à grille entourante. Dans ces figures, des mêmes
éléments qu'en figures IA à IN sont désignés par de même
références.
A 1'étape de la figure 3A, on a réalisé des fils
semiconducteur 15A et 15B par les étapes illustrées par les
figures IA et IB. Des portions des fils 15A et 15B sont entourées de grilles sacrificielles 17A et 17B de deux hauteurs différentes. Les grilles sacrificielles 17A sont plus grandes que les grilles sacrificielles 17B. Pour réaliser différentes hauteurs de grilles sacrificielles, on peut déposer puis irradier successivement des couches de résine d'épaisseurs différentes. A titre de variante, on pourrait également faire varier la dose d'irradiation pour faire varier la hauteur des grilles sacrificielles.
A l'étape de la figure 3B, on a réalisé les mêmes étapes que celles illustrées en figures ID à 1H, puis les grilles sacrificielles 17A apparentes sont retirées. Les grilles sacrificielles 17B, plus petites que les grilles sacrificielles 17A ayant leur extrémité haute encore recouverte par la couche d'arrêt de gravure 25 et par le matériau temporaire 27, restent en place.
Un avantage de cette variante est de ne pas avoir à former des masques 29 pour protéger les grilles sacrificielles destinées à isoler les transistors ou groupes de transistors. Les grilles sacrificielles 17B sont donc destinées à isoler les transistors entre eux et les grilles sacrificielles 17A sont destinées à être remplacées par les grilles des transistors.
A l'étape de la figure 3C, on a réalisé les mêmes étapes que celles illustrées en figures IJ à IL et on a formé des bouchons 37. Les bouchons 37 ont une hauteur supérieure à la différence de hauteur entre les grilles sacrificielles 17A et les grilles sacrificielles 17B.
B15679 - 16-GR3-0693
A l'étape de la figure 3D, on a réalisé un polissage mécano-chimique afin de rendre apparentes les grilles sacrificielles 17B. Comme cela a été dit précédemment, les bouchons 37 ayant une épaisseur supérieure à la différence de hauteur entre les grilles sacrificielles 17A et 17B, des portions de bouchons 37 protègent toujours les grilles des transistors.
Selon une variante décrite précédemment, on pourrait fabriquer d'autres transistors à la place de certaines grilles sacrificielles. Dans ce cas, on pourrait envisager d'utiliser une troisième hauteur de grilles sacrificielles pour isoler les transistors ou groupes de transistors entre eux.
Les figures 4A à 4C illustrent des étapes d'un mode de réalisation de fabrication de deux lignes de transistors MOS à grille entourante, ce mode de réalisation étant une variante de réalisation des procédés de fabrication d'une ligne de transistors à grille entourante décrits en relation avec les figures IA à IN et avec les figures 3A à 3D. Dans ces figures, des mêmes éléments qu'en figures IA à IN et figures 3A à 3D seront désignés par de mêmes références.
A l'étape de la figure 4A, on a réalisé deux paires adjacentes de fils semiconducteurs superposés 15A-15B et 15C-15D suspendus au-dessus d'un substrat semiconducteur 1, par les mêmes opérations que celles décrites en relation avec les figures IA et IB. Le fil 15A est suspendu au-dessus du fil 15B et le fil 15C est suspendu au-dessus du fil 15D, le fil 15D n'étant pas visible en figure 4A. Les fils 15A, 15B sont parallèles aux fils 15C et 15D. Les fils 15A, 15B, 15C et 15D sont reliés à chacune de leur extrémité à des plots communs 13A et 13B. A titre de variante, les fils 15C et 15D pourraient être reliés à des plots différents de ceux des fils 15A et 15B.
De plus, à l'étape de la figure 4A, on a entouré des portions des fils 15A, 15B, 15C et 15D de grilles sacrificielles 17A et 17B de deux hauteurs différentes, de la même façon qu'à l'opération décrite en relation avec la figure 3A. Les grilles sacrificielles 17A, 17B entourant les fils 15A et 15B sont par
B15679 - 16-GR3-0693 exemple alignées avec les grilles sacrificielles 17A, 17B entourant les fils 15C et 15D. De la même façon que précédemment, les grilles sacrificielles les plus petites, ici les grilles sacrificielles 17B, serviront d'isolation entre les transistors.
A l'étape de la figure 4B, la couche isolante 19 est déposée sur la structure de la figure 4A, comme cela est décrit en relation avec la figure ID. Par ailleurs, des bandes d'isolation latérale 41 sont formées pour isoler les futurs contacts de drain et de source des transistors formés autour des fils 15A et 15B des futurs contacts de drain et de source des transistors formés autour des fils 15C et 15D. Les bandes d'isolation latérales 41 sont disposées de part et d'autre des futures excroissances 23. Les bandes 41 sont par exemple formées par le même procédé que celui utilisé pour la formation des grilles sacrificielles 17A et 17B, décrit en relation avec la figure IC. Les bandes 41 sont donc par exemple réalisées en une résine de type HSQ, puis irradiées pour devenir de l'oxyde de silicium.
A l'étape de la figure 4C, on a réalisé les mêmes étapes que celles décrites en relation avec les figures 1E à IN ou 3C à 3D. La structure finalement obtenue comprend deux lignes de transistors à grille entourante, certains transistors étant connectés en série par leur source et leur drain et d'autres ayant une grille commune.
Il est ainsi possible de former simplement des structures CMOS à partir d'une ligne de transistor MOS à canal N et d'une ligne de transistor MOS à canal P côte à côte. Ce type de configuration est particulièrement utile pour former des circuits logiques tels que des circuits inverseurs.
On pourra réaliser des structures logiques plus complexes en associant un plus grand nombre de lignes de transistors.
La figure 5 illustre une étape d'un mode de réalisation d'un procédé de fabrication d'une ligne de transistors à effet de champ à ailette couramment appelés dans la technique FinEET. Les étapes illustrées en figures IA à IN sont adaptables à la
B15679 - 16-GR3-0693 fabrication d'un transistor de type FinFET en remplaçant les fils suspendus semiconducteurs par une ailette semiconductrice 43.
En figure 5, l'ailette 43 est formée sur un substrat 1 et des grilles sacrificielles 17 recouvrent des portions de l'ailette. Les grilles sacrificielles 17 sont réalisées par l'étape décrite en relation avec la figure 1D.
Un avantage des procédés de fabrication décrits ici est qu'ils sont compatibles avec les procédés de fabrication usuels de fils suspendus et d'ailettes.
Des modes de réalisation particuliers ont été décrits.
Diverses variantes et modifications apparaîtront à l'homme de l'art. En particulier, on a présenté des procédés de fabrication sur substrat massif mais ces procédés sont aussi réalisables sur une couche de semiconducteur sur isolant.
De plus, les différentes variantes de réalisation du procédé de fabrication de ligne de transistors à grille entourante sont adaptables à la fabrication de ligne de transistors de type FinEET.
De plus, il est possible de réaliser des bandes d'isolation latérale de différentes hauteurs afin de connecter des transistors voisins. Divers modes de réalisation avec diverses variantes ont été décrits ci-dessus. On notera que l'homme de l'art pourra combiner divers éléments de ces divers modes de réalisation et variantes sans faire preuve d'activité inventive.
B15679 - 16-GR3-0693

Claims (15)

  1. REVENDICATIONS
    1. Procédé de fabrication de transistors MOS (Tl, T2, T3, T4, T5) disposés en ligne, comprenant les étapes successives suivantes :
    a) réaliser au moins une bande (15A, 15B, 15C, 15D, 43) en un matériau semiconducteur au-dessus d'un substrat (1) et parallèlement à celui-ci ;
    b) recouvrir des portions longitudinales de même longueur de ladite bande (15A, 15B, 15C, 15D, 43) de grilles sacrificielles (17, 17A, 17B) en un matériau isolant, ces grilles (17, 17A, 17B) étant espacées ;
    c) doper les portions non recouvertes (23) de ladite bande (15A, 15B, 15C, 15D, 43) ;
    d) déposer une couche isolante (25) puis une couche d'un matériau temporaire (27) sur la structure obtenue ;
    e) laisser en place certaines grilles sacrificielles (17, 17B) et réaliser à la place des autres (17, 17A) les grilles (Gl, G2, G3, G4, G5) des transistors (Tl, T2, T3, T4, T5) en déposant successivement un isolant de grille (31) et un conducteur de grille (35) ; et
    f) remplacer le matériau temporaire (27) par un matériau conducteur (39) constituant les contacts de drains (Dl, D2, D3, D4, D5) et de sources (SI, S2, S3, S4, S5) des transistors (Tl, T2, T3, T4, T5).
  2. 2. Procédé selon la revendication 1, dans lequel les grilles sacrificielles (17A, 17B) ont des hauteurs différentes.
  3. 3. Procédé selon la revendication 2, dans lequel les grilles sacrificielles (17A, 17B) de hauteurs différentes sont formées par des lithographies successives.
  4. 4. Procédé selon la revendication 2, dans lequel les grilles sacrificielles (17A, 17B) de hauteurs différentes sont formées par photolithographie en utilisant des doses différentes d'irradiation.
    B15679 - 16-GR3-0693
  5. 5. Procédé selon l'une quelconque des revendications 1 à 4, dans lequel l'étape c) comprend les étapes successives suivantes :
    retirer les portions non entourées de ladite bande (15A, 15B, 15C, 15D, 43) ; et faire croître par épitaxie à partir desdites portions des excroissances (23) en un matériau semiconducteur dopé.
  6. 6. Procédé selon l'une quelconque des revendications 1 à 5, comprenant en outre, entre les étapes b) et c), une étape g) de formation d'espaceurs (21) protégeant les faces latérales des grilles sacrificielles (17, 17A, 17B).
  7. 7. Procédé selon l'une quelconque des revendications 1 à 6, dans lequel les grilles sacrificielles laissées en place (17, 17B) sont protégées par des masques (29).
  8. 8. Procédé selon l'une quelconque des revendications 1 à 7, dans lequel les grilles sacrificielles (17, 17A, 17B) ont une largeur comprise entre 2 et 50 nm et sont espacées d'un pas inférieur à 100 nm.
  9. 9. Procédé selon l'une quelconque des revendications 1 à 8, dans lequel le substrat (1) est en silicium massif.
  10. 10. Procédé selon l'une quelconque des revendications 1 à 8, dans lequel le substrat (1) est une couche de silicium sur oxyde.
  11. 11. Procédé selon l'une quelconque des revendications 1 à 10, dans lequel ladite bande (43) est une ailette.
  12. 12. Procédé selon l'une quelconque des revendications 1 à 10, dans lequel ladite bande (15A, 15B, 15C, 15D) comprend au moins un fil suspendu.
  13. 13. Procédé selon l'une quelconque des revendications 1 à 12, dans lequel les grilles sacrificielles (17, 17A, 17B) sont formées à partir d'hydrogénosilsesquioxane (HSQ).
  14. 14. Procédé selon l'une quelconque des revendications 1 à 13, dans lequel l'isolant de grille (31) est en oxyde d'hafnium, et le conducteur de grille (35) et ledit matériau conducteur (39) sont en tungstène.
    B15679 - 16-GR3-0693
  15. 15. Transistors MOS (Tl, T2, T3, T4, T5) disposés en ligne dont les grilles (Gl, G2, G3, G4, G5) sont formées par des portions couvertes d'un matériau isolant de grille (31) et d'un métal (33) d'une bande (15A, 15B, 15C, 15D, 43) en un matériau
    5 semiconducteur et dont les drains (Dl, D2, D3, D4, D5) et les sources (SI, S2, S3, S4, S5) sont formées par des portions dopées de ladite bande commune (15A, 15B, 15C, 15D, 43), des couches de matériau isolant (17, 17A, 17B) recouvrant des portions non dopées de ladite bande (15A, 15B, 15C,
    10 15D, 43) commune isolant électriquement des transistors (15A, 15B,
    15C, 15D, 43) ou des groupes de transistors en série entre eux.
    306481&
    1/12
    015619
    13A
    Zi X. .
    i
    B15679
    2/12
FR1752859A 2017-04-03 2017-04-03 Procede de fabrication de ligne de transistors mos Expired - Fee Related FR3064816B1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
FR1752859A FR3064816B1 (fr) 2017-04-03 2017-04-03 Procede de fabrication de ligne de transistors mos
US15/942,540 US10468306B2 (en) 2017-04-03 2018-04-01 Method for fabricating a row of MOS transistors
US16/582,576 US10998236B2 (en) 2017-04-03 2019-09-25 Method for fabricating a row of MOS transistors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR1752859A FR3064816B1 (fr) 2017-04-03 2017-04-03 Procede de fabrication de ligne de transistors mos
FR1752859 2017-04-03

Publications (2)

Publication Number Publication Date
FR3064816A1 true FR3064816A1 (fr) 2018-10-05
FR3064816B1 FR3064816B1 (fr) 2019-09-13

Family

ID=59070847

Family Applications (1)

Application Number Title Priority Date Filing Date
FR1752859A Expired - Fee Related FR3064816B1 (fr) 2017-04-03 2017-04-03 Procede de fabrication de ligne de transistors mos

Country Status (2)

Country Link
US (2) US10468306B2 (fr)
FR (1) FR3064816B1 (fr)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140035045A1 (en) * 2012-08-02 2014-02-06 International Business Machines Corporation Method of Manufacturing Dummy Gates of a Different Material as Insulation between Adjacent Devices
US20140054713A1 (en) * 2012-08-22 2014-02-27 Jung-Chan Lee Semiconductor device and a method for fabricating the same
US20160071848A1 (en) * 2014-09-04 2016-03-10 Rwik Sengupta Semiconductor device with an isolation gate and method of forming
US9362181B1 (en) * 2014-12-05 2016-06-07 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
WO2016137589A1 (fr) * 2015-02-26 2016-09-01 Qualcomm Incorporated Isolation de dispositif pour dispositifs à effet de champ partageant une ailette ou un nanofil
US20160380052A1 (en) * 2015-06-24 2016-12-29 Samsung Electronics Co., Ltd. Semiconductor device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9214553B2 (en) * 2014-03-07 2015-12-15 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9431485B2 (en) * 2014-12-23 2016-08-30 GlobalFoundries, Inc. Formation of finFET junction
US10026821B2 (en) * 2016-08-30 2018-07-17 Stmicroelectronics (Crolles 2) Sas All-around gate field-effect transistor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140035045A1 (en) * 2012-08-02 2014-02-06 International Business Machines Corporation Method of Manufacturing Dummy Gates of a Different Material as Insulation between Adjacent Devices
US20140054713A1 (en) * 2012-08-22 2014-02-27 Jung-Chan Lee Semiconductor device and a method for fabricating the same
US20160071848A1 (en) * 2014-09-04 2016-03-10 Rwik Sengupta Semiconductor device with an isolation gate and method of forming
US9362181B1 (en) * 2014-12-05 2016-06-07 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
WO2016137589A1 (fr) * 2015-02-26 2016-09-01 Qualcomm Incorporated Isolation de dispositif pour dispositifs à effet de champ partageant une ailette ou un nanofil
US20160380052A1 (en) * 2015-06-24 2016-12-29 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
US10468306B2 (en) 2019-11-05
US10998236B2 (en) 2021-05-04
US20180286763A1 (en) 2018-10-04
FR3064816B1 (fr) 2019-09-13
US20200020589A1 (en) 2020-01-16

Similar Documents

Publication Publication Date Title
FR2845203A1 (fr) Transistor a effet de champ ayant de multiples canaux empiles et procede de fabrication
FR3065322B1 (fr) Procede de realisation d'un dispositif d'affichage a matrice de leds
FR2853454A1 (fr) Transistor mos haute densite
FR3043837A1 (fr) Procede de realisation de transistor a nanofil semi-conducteur et comprenant une grille et des espaceurs auto-alignes
FR2884052A1 (fr) Transistor imos
FR3003691A1 (fr) Finfet avec grille arriere
FR3040538A1 (fr) Transistor mos et son procede de fabrication
FR3067516A1 (fr) Realisation de regions semiconductrices dans une puce electronique
FR3064816B1 (fr) Procede de fabrication de ligne de transistors mos
FR3064111A1 (fr) Procede de fabrication simultanee de differents transistors
EP3745468A1 (fr) Structure de transistor
FR3066318B1 (fr) Puce a transistors nmos et pmos contraints
FR2718287A1 (fr) Procédé de fabrication d'un transistor à effet de champ à grille isolée, en particulier de longueur de canal réduite, et transistor correspondant.
FR2976401A1 (fr) Composant electronique comportant un ensemble de transistors mosfet et procede de fabrication
EP0379449A1 (fr) Procédé de fabrication de circuits intégrés
WO2012010812A1 (fr) Procede de realisation d'un circuit integre
FR3055469A1 (fr) Transistor a effet de champ a grille entourante
WO2007017613A1 (fr) Procede de fabrication d'un transistor a nanodoigts semiconducteurs paralleles
EP3783644A1 (fr) Procédé de fabrication de composants microélectroniques
EP3038160B1 (fr) Transistor comprenant un canal mis sous contrainte en cisaillement et procede de fabrication
FR3035265A1 (fr) Procede de fabrication de transistors soi pour une densite d'integration accrue
EP3667734B1 (fr) Procédé de fabrication de composants microélectroniques
EP2428985B1 (fr) Procédé de fabrication d'un transistor MOS à canal contraint
EP2148373B1 (fr) Procédé pour contraindre simultanément en tension et en compression les canaux de transistors NMOS et PMOS respectivement
FR3066315A1 (fr) Procede de fabrication de bandes de silicium-germanium

Legal Events

Date Code Title Description
PLFP Fee payment

Year of fee payment: 2

PLSC Publication of the preliminary search report

Effective date: 20181005

PLFP Fee payment

Year of fee payment: 3

PLFP Fee payment

Year of fee payment: 4

ST Notification of lapse

Effective date: 20211205