EP3776635B1 - Additifs clivables à utiliser dans un procédé de fabrication d'un substrat semi-conducteur - Google Patents

Additifs clivables à utiliser dans un procédé de fabrication d'un substrat semi-conducteur Download PDF

Info

Publication number
EP3776635B1
EP3776635B1 EP19713512.2A EP19713512A EP3776635B1 EP 3776635 B1 EP3776635 B1 EP 3776635B1 EP 19713512 A EP19713512 A EP 19713512A EP 3776635 B1 EP3776635 B1 EP 3776635B1
Authority
EP
European Patent Office
Prior art keywords
formula
compound
group
semiconductor substrate
cleaving
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
EP19713512.2A
Other languages
German (de)
English (en)
Other versions
EP3776635A1 (fr
Inventor
Andreas Klipp
Christian Bittner
Simon Braun
Guenter Oetter
Yeni Burk
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of EP3776635A1 publication Critical patent/EP3776635A1/fr
Application granted granted Critical
Publication of EP3776635B1 publication Critical patent/EP3776635B1/fr
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C271/00Derivatives of carbamic acids, i.e. compounds containing any of the groups, the nitrogen atom not being part of nitro or nitroso groups
    • C07C271/06Esters of carbamic acids
    • C07C271/08Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms
    • C07C271/10Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C271/12Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms to hydrogen atoms or to carbon atoms of unsubstituted hydrocarbon radicals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/88Ampholytes; Electroneutral compounds

Definitions

  • the present invention relates to the use of an organic compound or a salt thereof as cleavable additive, preferably as cleavable surfactant, in the modification and/or treatment of at least one surface of a semiconductor substrate.
  • the invention also relates to a method of making a semiconductor substrate, comprising contacting at least one surface thereof with an organic compound or a salt thereof, which is a cleavable additive, so that said surface is modified or treated, cleaving said organic compound into a set of fragments and preferably removing said set of fragments from the contacted surface.
  • the invention also relates to a method of cleaning or rinsing a semiconductor substrate or an intermediate semiconductor substrate, comprising a step of removing at least an amount of an organic compound, preferably a cleavable surfactant, together with one or more materials from the surface of said substrate.
  • the invention relates to a composition comprising said organic compound as cleavable additive, for the uses and methods according to the invention.
  • the present invention pertains to a compound which is suitable for the uses and methods according to the invention and preferably is a cleavable additive, more preferably a cleavable surfactant.
  • the documents US 2013/217238 A1 and US 2006/264020 A1 disclose a cleavable compound suitable as a mask layer for a semiconductor substrate.
  • a process for manufacturing a semiconductor substrate is a multiple-step sequence of photolithographic and chemical processing steps during which electronic circuits or microelectronic devices are gradually created on a wafer made of pure semiconducting material (a "semiconductor wafer”).
  • silicon is used as the semiconductor material, but various semiconductor materials are known and applied for specialized applications.
  • a typical semiconductor wafer is made of extremely pure silicon that is grown into monocrystalline cylindrical ingots (boules) up to 300 mm in diameter using the so-called “Czochralski process”.
  • the semiconductor wafers are usually further purified by the so-called "float zone process” before these ingots are sliced into wafers about 0.75 mm thick and polished to obtain a very regular and flat surface.
  • the particular process for manufacturing a semiconductor substrate is structured in several phases, comprising e.g. the so-called “front-end-of-line” (“FEOL”) and “back-end-of-line” (“BEOL”) processing phases.
  • FEOL front-end-of-line
  • BEOL back-end-of-line
  • the FEOL processing phase refers to the formation of transistors on a semiconductor's surface.
  • the raw semiconductor wafer is engineered by the growth of an ultrapure, virtually defect-free silicon layer through epitaxy.
  • Front-end surface engineering is followed by growth of the gate dielectric (usually a high-k material like HfSiO x ), patterning of the gate, implanting of the source and drain regions, and subsequent formation of the contact region.
  • the gate dielectric usually a high-k material like HfSiO x
  • BEOL wafer processing steps
  • This insulating material has traditionally been a form of SiO 2 or a silicate glass, but today, low dielectric constant materials (also often referred to as "low-k materials”) are being used to further improve performance, e.g. silicon oxycarbide, typically providing dielectric constants around 2.7 (compared to 3.82 for SiO 2 ), but materials with constants as low as 2.0 or below are also known.
  • low dielectric constant materials also often referred to as "low-k materials”
  • silicon oxycarbide typically providing dielectric constants around 2.7 (compared to 3.82 for SiO 2 )
  • materials with constants as low as 2.0 or below are also known.
  • porous low k-value dielectric materials due to the high porosity and low density of the materials is that they tend to have irregular or non-smooth sidewalls after features such as trenches or so-called “vias” (abbreviation for "vertical interconnect access”) have been etched into them.
  • vias abbreviation for "vertical interconnect access”
  • subsequently formed and/or deposited materials can form irregularly along sidewalls of the low k-value dielectric layers.
  • forming copper diffusion barrier layers with a uniform thickness along sidewalls of low k-value dielectric layers can be problematic due to the irregularities of the low k-value dielectric layer sidewalls.
  • IC integrated circuits
  • copper is a metal with many advantages when introduced as a metal interconnect in ICs to enhance performance, but the use of copper has also introduced problems such as the tendency to corrode if not treated properly. This is because copper does not develop native oxide corrosion protection as readily as e.g. aluminium. Corrosion that once occurred unnoticed can have widespread effects in IC performance.
  • a common type of corrosion that can occur in BEOL wet processing is often the result of the interaction between process chemical and ultrapure deionized rinse water. The use of corrosion inhibitors in rinse bathes has therefore been discussed as a possibility to eliminate the need for additional intermediate solvent rinses as are commonly used to reduce this type of corrosion.
  • CMP chemical-mechanical planarization or chemical-mechanical polishing
  • Modern electronic chips have up to eleven metal levels produced in over 1000 sequenced processing steps.
  • the various processing steps can be grouped into four general categories: deposition, removal, patterning, and modification of electrical properties.
  • Deposition is any process that grows, coats, or otherwise transfers a material onto the semiconductor wafer.
  • Available technologies include physical vapor deposition (“PVD”), chemical vapour deposition (“CVD”), electrochemical deposition (“ECD”), molecular beam epitaxy (“MBE”) and more recently, atomic layer deposition (“ALD”), among others.
  • Removal in this regard means any process that removes material from the wafer; examples include etch processes (either wet or dry) and CMP.
  • Patterning in this regard means the shaping or altering of deposited materials, and generally includes a stop of lithography.
  • a semiconductor wafer is coated with a photoresist; then, a so-called “stepper” machine focuses, aligns, and moves an inert mask (reticle), exposing select portions of the semiconductor wafer below to short wavelength light; the exposed or unexposed regions of the photoresist (depending on the use of positive or negative photoresists, respectively) are washed away by a developer solution.
  • the remaining photoresist is removed by a process called "plasma ashing". Residuals from plasma ashing often need to be removed in a separate working step, usually by cleaning solutions ("wet chemicals") which are often specifically designed for a certain wet cleaning step.
  • Modification of electrical properties has historically entailed doping transistor sources and drains, originally by diffusion furnaces and later by ion implantation. These doping processes are followed by furnace annealing or, in advanced devices, by rapid thermal annealing ("RTA”); annealing serves to activate the implanted dopants. Modification of electrical properties now also extends to the reduction of a material's dielectric constant in low-k material insulators via exposure to ultraviolet light in UV processing (“UVP”) and the release of "porogens" from the low-k material. Porogens are generally any of a mass of particles (usually of a specified shape and size) used to make pores in solid, e.g. moulded, structures used for structure-engineering and are usually dissolved, cleaned or rinsed away after the structure has set.
  • UVP ultraviolet light in UV processing
  • chemical additives are used for different purposes of modifying and/or treating the surface of a semiconductor substrate, in particular a semiconductor wafer or an intermediate for producing a semiconductor substrate.
  • chemical additives, especially surfactants are applied before and/or after one or more processing steps from said processing step categories to prepare a surface of a semiconductor substrate, in particular a semiconductor wafer, for the next processing step and/or for finishing a processing phase or production as a whole.
  • the wet chemical processing of small pattern on a semiconductor substrate, in particular on a semiconductor wafer involves a plurality of problems, including problems of removing process chemicals and/or working liquids and/or working fluids after their use.
  • photoresist patterns are required to include relatively thin and tall structures or features of photoresists, i.e., features having a high aspect ratio, on the substrate. These structures may suffer from bending and/or collapsing (known as the phenomenon of "pattern collapse"), in particular, during cleaning or rinsing processes, due to excessive capillary forces of the liquid or solution remaining from the cleaning or rinsing solution between adjacent photoresist features, in particular during the spin dry processes.
  • the maximum stress ( ⁇ ) between small features caused by the capillary forces can e.g. be lowered by decreasing the surface tension ⁇ of the cleaning or rinsing fluid (both dynamic and equilibrium surface tension).
  • surfactants are usually added to said fluid or liquid.
  • LER line edge roughness
  • LWR line width roughness
  • Watermarks may form on the photoresist as the deionized water or defect rinse solution cannot be spun off from the hydrophobic surface of the photoresist.
  • the watermarks have a harmful effect on yield and IC device performance.
  • blob defects are caused during UV exposure and/or photoresist development and have often the form of a round "crater-like" opening on one or more of the top layers on the photoresist, e.g. polymeric and photosensitive layers. Small particles or other insoluble matter can be trapped in those opening and result in inefficient particle removal or blocking of the openings. In particular, hydrophobic fragments or aggregates of hydrophobic molecules can be absorbed in or on those defect sides. These remaining particles, fragments or aggregates cause problems at a later process stage.
  • Another problem of the conventional photolithographic process is the absorbance of solvents by the photoresist layer or the patterned material layer resulting in swelling of these layers. Patterns in very close proximity, in particular patterns having line-space structures with a line width of 50 nm or below, thus get into direct contact with each other after swelling. Furthermore, the swollen patterns in direct contact to each other will subsequently stick together even after developing, cleaning or rinsing of the product, in particular products according to the present invention. Photoresist swelling thus limits the minimum achievable line-space dimensions of a product, in particular for products according to the present invention.
  • common processes for manufacturing semiconductor substrates are multiple-step sequences of photolithographic and chemical processing steps, involving modification and treatment of surfaces of said semiconductor substrates, in particular of semiconductor wafers.
  • the surface to be processed has to be properly prepared and in particular all traces, e.g. residuals, particles or fluids from previous processing steps need to be removed.
  • all chemical additives, process chemicals, working fluids and/or working liquids e.g. from defect reduction rinses, see below, which may have been used during said previous processing steps, including e.g. any surfactants used.
  • process chemicals e.g. chemical additives, working fluids and/or working liquids are conveniently, quickly, easily and - where no longer-term or permanent exposure of the surface of a semiconductor substrate to the chemical additives is required or beneficial - preferably also completely removed, once they have served their purposes of modifying and/or treating the semiconductor substrate's surface and/or before the next or subsequent processing step is initiated.
  • Reducing processing times is not only an economic but often also a technical requirement, e.g. where sensitive layers are applied on a semiconductor wafer which may not be exposed to the processing environment for extended time periods.
  • cleavable additive in the modification or treatment of at least one surface of a semiconductor substrate, in particular a semiconductor wafer, which cleavable additive can be conveniently, quickly, easily and, where required or beneficial, also completely removed.
  • said organic compound preferably a cleavable additive
  • a “semiconductor substrate” comprises a semiconductor wafer, from the beginning of its production (i.e. a blank, untreated, uncoated and unpatterned semiconductor wafer, also referred to as a “start semiconductor wafer” in this text) throughout all its processing steps (including all processing steps in FEOL and BEOL processing phases, as explained above; also referred to as an “intermediate semiconductor wafer” in this text) until and including the completion of its processing.
  • a “microelectronic device” results which is preferably situated on at least one surface of a semiconductor wafer. Such microelectronic device is also comprised by the term “semiconductor substrate” as used in the context of the present invention.
  • a microelectronic device is preferably selected from the group consisting of transistors, capacitors, inductors, resistors, diodes, insulators and conductors.
  • a plurality of microelectronic devices can form one or more integrated circuits.
  • a semiconductor wafer according to the present invention comprises or consists of a semiconductive material, preferably silicon.
  • the at least one surface of a semiconductor wafer to be modified or treated comprises one or more materials selected from the group consisting of a metal nitride, preferably TiN; and an oxide of Si, preferably HfSiOx, SiOC(N) and SiO 2 , more preferably crystalline SiO 2 .
  • the semiconductor wafer during its processing phases also being referred to as an "intermediate semiconductor wafer"
  • several coatings of different materials are usually applied to at least one of its surfaces as explained above, e.g. coatings of one or more photoresist layers, one or more protective layers (e.g. etch stop layers), one or more insulating layers (e.g. layers of low electric constant insulating material, also known as low-k material) and/or one or more functional layers.
  • a coating or layer is usually unpatterned.
  • the coating or layer, in particular a functional coating can be patterned (or structured) by processes known in the art, as also explained above.
  • patterned semiconductor wafer or structured semiconductor wafer
  • intermediate semiconductor wafer as used in this text comprises such patterned semiconductor wafers and structured semiconductor wafers.
  • a semiconductor substrate in particular a semiconductor wafer, in the context of the present invention and consistent with the usual understanding in the technical field, is preferably macroscopically planar, i.e. the layers, patterns or structures on at least one of the semiconductor substrate's or semiconductor wafer's surfaces are not higher than 5 ⁇ m (i.e. not extending more than 5 ⁇ m in height in a perpendicular direction to the surface of the semiconductor substrate or the semiconductor wafer).
  • a cleavable additive in the context of the present invention is an organic compound of formula I which comprises two groups, a tail group A and a head group B, which groups are linked by a linking group L.
  • L is bonded via covalent bonds to any of A and B.
  • the groups A and B are chemical groups comprising functional groups which are suitable for having an effect in the modification or treatment of at least one surface of a semiconductor substrate.
  • the groups A and B are different and/or are adapted according to the particular purpose of modification and/or treatment of the at least one surface of a semiconductor substrate, as further explained below.
  • the use of the compound of formula I as cleavable additive is a use as cleavable surfactant.
  • a "cleavable surfactant" is - consistent with the usual understanding in the technical field - an amphiphile in which a "weak linkage" has been deliberately inserted, usually between a hydrophobic tail group and a polar head group.
  • the tail group A is preferred as hydrophobic tail group and the head group B is preferred as polar head group, both as defined in detail in this text.
  • the term "cleavable surfactant” is established in the field and is commonly used for the type of compounds as explained here before; for reference see e.g.
  • the tail group A is preferably a hydrophobic group, more preferably a straight-chain or branched C 4 -C 20 -alkyl group, which is substituted by 1 to 4 ether groups or is preferably unsubstituted.
  • A is a straight-chain or branched aliphatic hydrocarbon group having a total number of 4 to 20, preferably of 6 to 16, carbon atoms, which is substituted by 1 to 4 ether groups or is preferably unsubstituted.
  • A is a monovalent group "R 1 -CH 2 -", where R 1 is a straight-chain or branched aliphatic hydrocarbon group having a total number of 4 to 20, preferably of 6 to 16, more preferably of 7 to 14, still more preferably of 7 to 12, carbon atoms.
  • the head group B is preferably a polar group, preferably comprising in its chemical structure at least one ionic group independently selected from anionic groups, preferably carboxylate, sulfate, sulfonate or phosphate, more preferably carboxylate; and cationic groups, preferably ammonium which is unsubstituted or substituted by 1 to 3 straight-chain or branched C 1 -C 4 -alkyl groups ("alkyl ammonium").
  • B is a straight-chain or branched aliphatic hydrocarbon group having a total number of 1 to 6, preferably of 1 to 4, carbon atoms, which is substituted by one or two, preferably by one, ionic group independently selected from anionic groups, preferably carboxylate, sulfate, sulfonate or phosphate; and cationic groups, preferably ammonium which is unsubstituted or substituted by 1 to 3 straight-chain or branched C 1 -C 4 -alkyl groups.
  • B is a monovalent ionic group of formula II where R 2 is a straight-chain or branched aliphatic hydrocarbon group having a total number of 1 to 6, preferably of 1 to 4, carbon atoms and Y + is a singly charged ammonium cation which is unsubstituted ("ammonium cation") or substituted (“alkyl ammonium cation”) by 1 to 3 C 1 -C 4 -alkyl groups; and preferably Y + is unsubstituted ammonium.
  • the linking group L comprises or represents (preferably represents) a bond or a chemical group which in each case is selectively cleaved or rearranged when induced by at least one suitable trigger or triggering event selectively acting upon the linking group L, to release a set of fragments from the compound of formula I.
  • "Selectively acting on” preferably means that said trigger or triggering event does not directly impact the fragments A and B or their chemical structures, apart from releasing them from the compound of formula I (i.e. not directly impact the chemical structures of the fragments A and B which are not involved in the binding to the fragments A or B) due to the action of said trigger or triggering event on L.
  • Selective cleavage or rearrangement of the linking group L preferably results in a controlled and selective cleavage of the cleavable additive (the compound of formula I) into a set of fragments.
  • the fragments are smaller than the compound of formula I, they can usually be easier removed from a surface, preferably from a surface of a semiconductor substrate, than said un-decomposed compound of formula I.
  • L is bonded via covalent bonds to any of A and B.
  • the linking group L is a (divalent) urethane group "-N(H)-C(O)O-".
  • the linking group L can link the group A, preferably a preferred group A as defined above, via the nitrogen atom and the group B, preferably a preferred group B as defined above, via the oxygen atom of the carboxyl group (thus creating a structure "A-N(H)-C(O)O-B").
  • the linking group L can link the group A via the oxygen atom of the carboxyl group and the group B via the nitrogen atom (thus creating a structure "B-N(H)-C(O)O-A").
  • the variant where the linking group L links the group A via the nitrogen atom and the group B via the oxygen atom of the carboxyl group is preferred with respect to all aspects of the present invention.
  • the compound of formula I or its salt has a molecular weight not exceeding 1500 g/mol, more preferably not exceeding 1000 g/mol. Unlike a polymer, the compound of formula I or its salt does not solely consist of a plurality of identical (monomeric) units.
  • Modification of at least one surface of a semiconductor substrate in the context of the present invention preferably means that after a certain process step in a method of making a semiconductor substrate or a microelectronic device, upon contacting said at least one surface of said semiconductor substrate, preferably of a semiconductor wafer, more preferably of an intermediate semiconductor wafer, a cleavable additive exerts its effect on said surface and subsequent to exerting said effect, it is cleaved into a set of fragments. Said fragments are subsequently removed, preferably at least partially removed from said surface. Partial removal of said fragments from said surface, where required or beneficial, has the effect that at least a part of said fragments may remain on said surface and may exert a longer-lasting or permanent effect on said surface.
  • Preferred processes comprising modification or modifying said at least one surface of a semiconductor substrate, preferably a semiconductor wafer, are selected from the group consisting of pore-sealing of low-k dielectric materials, repairing films of low-k dielectric materials, changing the zeta-potential of at least one surface of the semiconductor substrate, changing the contact angle on at least one surface of the semiconductor substrate, changing the adsorption or adhesion properties of at least one surface of the semiconductor substrate in relation to the compound of formula I and inhibiting corrosion of corrosion-sensitive materials, preferably selected from the group consisting of copper, cobalt and tungsten, more preferably inhibiting corrosion of copper, as explained above.
  • Treatment of at least one surface of a semiconductor substrate preferably means treating the at least one surface of an intermediate semiconductor substrate, preferably of a semiconductor wafer, more preferably of an intermediate semiconductor wafer, in a way that a cleavable additive, upon contacting said at least one surface, exerts its effect on said surface and subsequent to exerting said effect, it is cleaved into a set of fragments, preferably upon a trigger or triggering event. Said fragments are subsequently removed, preferably completely removed, from said surface.
  • Preferred processes comprising treatment or treating said at least one surface of a semiconductor substrate, preferably a semiconductor wafer are cleaning and rinsing. Most preferred according to the invention as "treatment” is cleaning.
  • “Cleaning" of at least one surface of a semiconductor substrate in the context of the present invention preferably means cleaning at least one surface of a semiconductor substrate, preferably of a semiconductor wafer, more preferably of an intermediate semiconductor wafer, from residuals or remainders of previous processing steps, preferably by removing particles, pieces or fragments of photoresist or other layers, which may have formed as a result of previous processing steps of e.g. FEOL or BEOL processes (also referred to as "contaminations” in the present text), e.g. removal of post-etch residues (for reference see e.g. E. Kester et al., Solid State Phenomena 219 (2015) 201-204 ) e.g.
  • etch plasma residuals as occurring in dry-etching processes like ions, metal traces and their nitrides, oxides and/or fluorides.
  • the cleaning need of the semiconductor substrate's surface can occur at different stages of the process for manufacturing a semiconductor substrate, preferably a microelectronic device, in particular after production of a semiconductor crystal and before any FEOL processing phase, at several stages during, and after the FEOL processing phase and before, at different stages during, and after the BEOL processing phase.
  • cleaning in the context of the present invention as explained above also comprises steps where remainders of previous processing steps, preferably particles, pieces or fragments of photoresist or other layers, which may have formed as a result of previous processing steps of e.g.
  • FEOL or BEOL processes are "rinsed” or rinsed off from at least one surface of a semiconductor substrate.
  • cleaning in the context of the present invention comprises defect reduction rinses (see below), post-ash residue removal rinses, post-etch residue removal rinses and photoresist residue removal rinses.
  • “Rinsing" of at least one surface of a semiconductor substrate in the context of the present invention preferably means rinsing the surface of a semiconductor substrate, preferably of a semiconductor wafer, more preferably of an intermediate semiconductor wafer, to remove any working fluids or working liquids which may be present from previous processing steps and/or to prepare the semiconductor wafer for drying after a rinsing step.
  • the rinsing need of the semiconductor substrate's surface can occur at different stages of the process for manufacturing a semiconductor substrate, preferably a microelectronic device, in particular after production of a semiconductor crystal and before any FEOL processing phase, at several stages during, and after the FEOL processing phase and before and at different stages during, and after the BEOL processing phase.
  • Cleaning and/or rinsing steps according to the present invention are preferably to be conducted in a way so as to preserve the surface structure of the semiconductor substrate in its particular processing status, e.g. in the status as plain semiconductor wafer before entering the FEOL processing steps (a "start semiconductor wafer") or subsequently in the status as patterned or unpatterned semiconductor wafer comprising one or more patterned or unpatterned layers of e.g. photoresist, insulator (e.g. low k-value) materials and/or metals (an "intermediate semiconductor wafer").
  • start semiconductor wafer e.g. in the status as plain semiconductor wafer before entering the FEOL processing steps
  • patterned or unpatterned semiconductor wafer comprising one or more patterned or unpatterned layers of e.g. photoresist, insulator (e.g. low k-value) materials and/or metals (an "intermediate semiconductor wafer”).
  • cleaning and/or rinsing steps are to be conducted in a way so as to preserve any patterns or structures already present on the semiconductor substrate's or semiconductor wafer's surface or in one or more layers present on said surface and thus preferably prevent, avoid and/or reduce the undesired phenomena often occurring with photolithographic processes, in particular pattern collapse, line edge roughness, water mark defects, photoresist-swelling and/or blob-defects, where cleaning and/or rinsing steps which prevent, avoid and/or reduce said undesired phenomena are also known and collectively referred to in the context of the present invention as "defect reduction rinses".
  • said modification and/or treatment is or comprises (preferably "is") cleaning and/or rinsing and preferably comprises defect reduction rinses, post-ash residue removal rinses, post-etch residue removal rinses and photoresist residue removal rinses.
  • a use of a compound of formula I is preferred in the treatment of at least one surface of a semiconductor substrate, preferably in the cleaning and/or rinsing of at least one surface of a semiconductor substrate, more preferably in the cleaning and/or rinsing of at least one surface of a semiconductor wafer, yet more preferably in the cleaning or rinsing of at least one surface of an intermediate semiconductor wafer.
  • the use of said compound of formula I preferably is as cleavable surfactant (as explained in more detail below).
  • cleaving of said compound of formula I is preferably induced by at least one trigger or triggering event (as stated above).
  • the suitable or applicable trigger (or triggering event) and thus the suitable or applicable mechanism of cleaving the cleavable additive of formula I is preferably adapted to or selected according to the nature of the at least one surface of the semiconductor substrate to be modified or treated, preferably by adapting to or selecting the linking group L as part of the compound of formula I, to meet a required mechanism of cleaving the cleavable additive of formula I, as explained in more detail below.
  • cleaving of said compound of formula I is preferably induced by the trigger of heat, preferably at a cleaving temperature in the range of from 100 to 400 °C, more preferably in the range of from 125 to 300 °C, most preferably in the range of from 150 to 250 °C.
  • a cleaving temperature in the range of from 100 to 400 °C, more preferably in the range of from 125 to 300 °C, most preferably in the range of from 150 to 250 °C.
  • higher temperatures of the temperature ranges as defined before can be applied to semiconductor substrates, in particular semiconductor wafers or intermediate semiconductor wafers, at the start of their production, i.e.
  • the semiconductor substrate or wafer does not yet comprise a variety of coatings, patterns or structures of materials which may be sensitive to elevated temperatures, and that only lower temperatures of the temperature ranges as defined before can be applied to semiconductor substrates, in particular semiconductor wafers, at later stages of their production or towards the end of their production process.
  • the cleaving temperatures applied are therefore preferably selected so that layers, coatings, patterns or structures on the semiconductor substrate to be modified and/or treated are not compromised, damaged or destroyed by the application of said temperatures.
  • This rationale for selecting a suitable temperature applies equally to selecting a suitable cleaving temperature and for selecting a suitable temperature for removal of the set of fragments of the compound of formula I from the at least one surface of the semiconductor substrate.
  • the cleavable additives for use in the present invention are preferably cleaved (decomposed) and/or evaporated at higher temperatures and/or reduced pressures (as explained above and below), i.e. under conditions which are compatible with or possible to be used in industrial processes for producing semiconductor substrates, preferably semiconductor wafers, and/or microelectronic devices.
  • said removal of said compound of formula I from the at least one surface of said semiconductor substrate is done by rinsing or rinsing off said fragments or set of fragments from said surface.
  • the previous cleaving of said compound of formula I preferably reduces adhesion of the resulting fragments from said set of fragments to the at least one surface of a semiconductor substrate and thus facilitates removal of the compound of formula I (viz. its fragments) from said surface.
  • said removal of said compound of formula I from the at least one surface of said semiconductor substrate is done by evaporation of said set of fragments from said surface, particularly preferably where cleaving of said compound of formula I into a set of fragments is induced by the trigger of heat, as explained above.
  • Evaporation of said set of fragments is preferably done by heating, preferably by heating to a temperature in the range of from 100 to 400 °C ("cleaving temperature"), more preferably in the range of from 125 to 300 °C, most preferably in the range of from 150 to 250 °C, or to a temperature below said cleaving temperature and/or at an evaporation pressure.
  • the evaporation pressure is a reduced pressure, preferably a pressure of not more than 10 hPa, more preferably of not more than 10 -2 hPa and yet more preferably of not more than 10 -3 hPa.
  • Evaporation is preferred in all aspects (use, method of making, method of cleaning and/or rinsing) of the present invention as method for removal of said set of fragments of the compound of formula I, as it is a versatile, convenient, quick and easy method which can be introduced at most or all processing steps in a method of making a semiconductor substrate or microelectronic device, or a method of cleaning or rinsing a semiconductor substrate (i.e. "at the point of use").
  • the compound of formula I and/or the fragments into which it is being cleaved subsequent to said modification or treatment should not represent, form or decompose into non-volatile or low volatile compounds, fragments or pieces and/or should not cause any side reactions upon their decomposition or thermal removal which may be obstructive to removal or complete removal of the compound of formula I from said at least one surface of a semiconductor substrate.
  • the compound of formula I and their respective groups A and B are selected so as to comply with this requirement.
  • the tail group A and the head group B as parts of the compound of formula I are preferably adapted or selected to meet a required purpose of modifying or treating at least one surface of a semiconductor substrate, preferably a semiconductor wafer: If the purpose of the compound of formula I is cleaning or rinsing, the tail group A is preferably a hydrophobic group, more preferably straight-chain or branched C 4 -C 20 -alkyl, which is substituted by 1 to 4 ether groups or is preferably unsubstituted; and the head group B is preferably a polar group, preferably comprising in its chemical structure at least one ionic group independently selected from anionic groups, preferably carboxylate, sulfate, sulfonate or phosphate, and cationic groups, preferably ammonium which is unsubstituted or substituted by 1 to 3 straight-chain or branched C 1 -C 4 -alkyl groups
  • the tail group A is preferably selected from the group consisting of a hydrophobic group, more preferably straight-chain or branched C 4 -C 20 -alkyl, which is substituted by 1 to 4 ether groups or is preferably unsubstituted; and a repelling group; and the head group B is preferably selected from the group consisting of a water film binding group, an anchoring group reactive to the at least one surface of a semiconductor substrate and an anchoring group adsorbing to the at least one surface of a semiconductor substrate.
  • the head group is preferably selected from the group consisting of a sterically hindering group and a precursor group for forming self-assembled monolayers; and the head group B is preferably selected from the group consisting of a polar group, preferably comprising in its chemical structure at least one ionic group independently selected from anionic groups, preferably carboxylate, sulfate, sulfonate or phosphate, and cationic groups, preferably ammonium which is unsubstituted or substituted by 1 to 3 straight-chain or branched C 1 -C 4 -alkyl groups; and an anchoring group adsorbing to the at least one surface of a semiconductor substrate.
  • the tail group A is preferably a solubilising group; and the head group B is preferably selected from the group consisting of an anchoring group reactive to Si-OH bonds and an alkyl-silyl group.
  • the tail group A is is preferably selected from the group consisting of a solubilising group, a sterically hindering group and a group improving packaging; and the head group B is preferably a group with affinity to the at least one surface of a semiconductor substrate.
  • the linking group L as part of the compound of formula I is preferably adapted or selected to meet a required mechanism of cleaving the cleavable additive of formula I.
  • the mechanism of cleaving the cleavable additive of formula I is preferably adapted to the nature of the at least one surface of the semiconductor substrate, preferably the semiconductor wafer, i.e. if said surface is patterned or unpatterned and/or if it e.g. carries layers of non-semiconducting materials which need to be preserved but may be sensitive to or incompatible with particular treatment methods.
  • the linking group L is preferably selected from the group consisting of:
  • Linking groups L and suitable methods of selecting and/or selectively cleave or rearrange said linking groups L which are also suitable for use or application in the compound of formula I of the present invention are generally known in the field, e.g. from documents US 7,022,861 ; WO 2009/048611 A2 or WO 02/097393 A2 , or by A. Tehrani-Bagha et al., Current Opinion in Colloid & Interface Science, Vol. 12 Is. 2 (2007) 81-9 , or literature cited therein.
  • heat- or thermally labile linking groups L are preferred as they do not require addition of any further reagents or chemicals (e.g. acids, bases or nucleophils) and therefore usually allow more universal applications, e.g. in different production environments and/or on surfaces of a microelectronic device of different natures (patterned, unpatterned, coated, uncoated.
  • further reagents or chemicals e.g. acids, bases or nucleophils
  • the compound of formula I therefore is a compound of formula la: A-N(H)-C(O)O-B (Ia), wherein A and B have the meanings as defined above (or as defined above as preferred) for the compound of formula I and are connected via a urethane group "-N(H)-C(O)O-".
  • the compound of formula I or its salt preferably has a molecular weight not exceeding 1500 g/mol, preferably not exceeding 1000 g/mol.
  • a compound of formula I or its salt which has a molecular weight in the specified range can preferably be cleaved into a set of fragments where the fragments can be removed, preferably completely removed, from the surface of a semiconductor substrate by the preferred removal by evaporation (as explained in more detail below), under conditions compatible with the usual conditions and/or requirements when processing semiconductor substrates, preferably semiconductor wafers, like suitable processing temperatures (as defined above) and/or pressures (as defined below), preferably at said cleaving temperature in the range of from 100 to 400 °C, more preferably in the range of from 125 to 300 °C and most preferably in the range of from 150 to 250 °C; or at a temperature below said cleaving temperature .
  • the resulting fragments from thermally cleaving a respective compound of formula I are preferably fragments which can be removed by evaporation, preferably by evaporation at a temperature in the range of from 100 to 400 °C, more preferably in the range of from 125 to 300 °C and most preferably in the range of from 150 to 250 °C and/or (preferably "or") at a pressure ("evaporation pressure") of not more than 10 hPa, preferably of not more than 10 -2 hPa, more preferably of not more than 10 -3 hPa.
  • the linking group L is preferably a urethane group (-N(H)-C(O)O-).
  • a compound of formula I or its salt, preferably a preferred compound of formula I or its salt, wherein the linking group L is a urethane group can preferably be cleaved into a set of fragments by the trigger of heat, preferably at a cleaving temperature in the range of from 100 to 400 °C, more preferably in the range of from 125 to 300 °C and most preferably in the range of from 150 to 250 °C. It has been shown in own experiments that said thermal cleavage of a urethane group L is usually proceeding smoothly at a temperature not exceeding 200 °C.
  • said use of said compound of formula I is as cleavable surfactant in the cleaning and/or rinsing of at least one surface of a semiconductor wafer.
  • the compounds of formula I or the preferred compounds of formula I as further specified here above are particularly suitable as cleavable surfactants in the cleaning or rinsing of a semiconductor wafer as they can be cleaved into a set of fragments by the trigger of heat, preferably at a cleaving temperature in the range of from 100 to 400 °C, more preferably in the range of from 125 to 300 °C and most preferably in the range of from 150 to 250 °C and said fragments, subsequently to said cleaning or rinsing, can be removed, preferably completely removed (as defined above), from the surface of said semiconductor substrate by the preferred removal induced by evaporation (as explained in more detail below), preferably at said cleaving temperature in the range of from 100 to 400 °C, more preferably in the range of from 125 to 300 °C and most preferably in the range of from 150 to 250 °C; or at a temperature below said cleaving temperature and/or at a
  • the present invention also relates to a method of making a semiconductor substrate, preferably a microelectronic device, comprising the following steps:
  • the method of making as defined above preferably is a part of or is an industrial serial production, preferably in the interconnect industry, of a microelectronic device for application in electronic products, preferably including integrated circuits or devices comprising integrated circuits.
  • the step of contacting preferably comprises contacting said at least one surface of a start semiconductor wafer or an intermediate semiconductor wafer, preferably of an intermediate semiconductor wafer, with a compound of formula I, so that said surface is modified by at least one modification selected from the group consisting of pore-sealing of low-k dielectric materials, repairing films of low-k dielectric materials, changing the zeta-potential of at least one surface of the intermediate semiconductor substrate, changing the contact angle on at least one surface of the intermediate semiconductor substrate, changing the adsorption or adhesion properties of at least one surface of the intermediate semiconductor substrate in relation to the compound of formula I and inhibiting corrosion and/or so that said surface is treated by at least one treatment selected from the group consisting of cleaning and rinsing.
  • the step of contacting comprises contacting said at least one surface so that said surface is cleaned or rinsed.
  • said step of thermally cleaving said compound of formula I is preferably induced by the trigger of heat.
  • said cleaving pressure is preferably ambient pressure (atmospheric pressure) and said pressure below said cleaving pressure is preferably a reduced pressure, preferably a pressure of not more than 10 hPa, more preferably of not more than 10 -2 hPa and yet more preferably of not more than 10 -3 hPa ("evaporation pressure").
  • removing the set of fragments of the compound of formula I from the contacted surface preferably means that at least 99.5 mass-% of the compound of formula I (viz. its fragments) is removed from said contacted surface as a result of the method of making according to the present invention, preferably as determined by differential measurement of masses. I.e. not more than 0.5 mass-% of the total mass of the compound of formula I previously applied in the step of contacting said at least one surface with the organic compound of formula (I) is found after the steps of cleaving and removing of the method of making according to the present invention (as defined above) have been conducted.
  • completely removing the set of fragments of the compound of formula I from the contacted surface preferably means that at least 99.9 mass-% of the compound of formula I (viz. its fragments) are removed from said contacted surface as a result of the method of making according to the present invention, preferably as determined by differential measurement of masses. I.e. not more than 0.1 mass-% of the total mass of the compound of formula I previously applied in the step of contacting said at least one surface with the organic compound of formula (I) is found after the steps of cleaving and removing of the method of making according to the present invention (as defined above) have been conducted.
  • an indirect measuring method is preferably used for determining if a certain compound of formula I or la, which can be cleaved by the trigger of heat, preferably a compound of formula Ib, is or can be "removed” or "completely removed” by the method of making according to the present invention (as defined above), i.e. under the conditions of the method of making according to the present invention.
  • Determining the degree of removal of a compound of formula I, preferably of formula Ib by differential measurement of masses is preferably conducted in the context of the present invention according or analogous to the method described in example 3, below.
  • a method is preferred wherein the step of contacting said at least one surface with a compound of formula I or a salt thereof comprises contacting said at least one surface with a composition, preferably an aqueous composition (i.e. a composition containing water), comprising the compound of formula I or the salt thereof.
  • a composition preferably an aqueous composition (i.e. a composition containing water), comprising the compound of formula I or the salt thereof.
  • the invention also relates to a method of cleaning and/or rinsing a semiconductor substrate, preferably an intermediate semiconductor wafer, comprising the following steps:
  • said materials are residuals, residues, particles, working fluids and/or working liquids originating from previous processing steps.
  • such materials are not tightly bonded, linked or fixed to the surface of said microelectronic device or intermediate microelectronic device but are seated on said surface loosely enough to be washed or rinsed off, preferably in cleaning (washing, rinsing) processes conventional in the industry.
  • said materials may be particles, pieces or fragments which may have formed as a result of previous processing steps of e.g.
  • cleaving in the step of cleaving at least a fraction of or the total of said residual amount of said organic compound, cleaving ⁇ 99.5 mass-% or the total of said residual amount of said organic compoundis preferred.
  • each fragment resulting from cleaving said compound of formula I has a boiling point which is lower than the un-decomposed compound of formula I so that removing, preferably evaporating, the fragments resulting from cleaving said compound of formula can be achieved with less effort (i.e. at lower temperatures and/or at higher evaporation pressure), compared to the un-decomposed compound of formula I (as also explained above).
  • a method of cleaning and/or rinsing according to the present invention as defined above is preferred, wherein the step of removing said set of fragments comprises evaporating said fragments
  • a method of cleaning and/or rinsing according to the present invention as defined above is preferred, wherein in said compound of formula I or its salt,
  • a method of cleaning and/or rinsing according to the present invention as defined above (or a method of cleaning and/or rinsing according to the present invention which is designated as being preferred in this text) is preferred, wherein the step of contacting said at least one surface with a compound, preferably a cleavable surfactant, of formula I or a salt thereof comprises contacting said at least one surface with a composition, preferably an aqueous composition (i.e. a composition containing water), comprising the compound, preferably the cleavable surfactant, of formula I or the salt thereof.
  • a composition preferably an aqueous composition (i.e. a composition containing water)
  • the invention also relates to a compound of formula Ib, wherein
  • the compound of formula Ib is particularly suitable and intended for application in the uses and methods of the invention, preferably in the use as cleavable additive, more preferably as cleavable surfactant, in the modification and/or treatment of at least one surface of a semiconductor substrate; in the method of making a semiconductor substrate, preferably a microelectronic device and/or in the method of cleaning and/or rinsing a semiconductor substrate, all as defined above in each case (or as defined above as preferred in each case).
  • the compound of formula Ib is a preferred compound of formula I in the use or a preferred use according to the invention as cleavable surfactant in the cleaning or rinsing of at least one surface of a semiconductor substrate, preferably comprising post-ash residue removal rinses, post-etch residue removal rinses and photoresist residue removal rinses.
  • R 2 preferably does not comprise a chiral center.
  • R 2 therefore preferably does not carry two different (geminal) substituents at the same carbon atom.
  • R 2 is selected from “-CH2-" and “n-C2H4-” and most preferably R 2 is "-CH2-" (methylen).
  • R 1 a preferred meaning of R 1 , together with the methylen group to which it is bonded, is an "isodecyl" hydrocarbon group.
  • “Isodecyl” denotes a mixture of isomers of a hydrocarbon group which has ten carbon atoms and an average branching degree in the range of from 2 to 2.5 (i.e. the C 10 -isomers on average have 2 to 2.5 branches in their carbon chain). The branching degree can be determined by 1 H-NMR spectroscopy as the amount of "CH 3 -"groups (signals) minus one "CH 3 -” group.
  • the isodecyl group can be introduced into an organic molecule from commercially available sources, e.g. from isodecyl alcohol (CAS RN 25339-17-7).
  • “Isodecyl” is abbreviated to "iC 10 H 21 " in the compound of formula VIII below.
  • R 1 another preferred meaning of R 1 , together with the methylen group to which it is bonded, is an "isotridecyl" hydrocarbon group.
  • “Isotridecyl” denotes a mixture of isomers of a hydrocarbon group which has thirteen carbon atoms and an average branching degree in the range of from 2 to 3.5 (i.e. the C 13 -isomers on average have 2 to 3.5 branches in their carbon chain).
  • the isotridecyl group can be introduced into an organic molecule from commercially available sources, e.g. from isotridecyl alcohol (CAS RN 27458-92-0).
  • “Isotridecyl” is abbreviated to "iC 13 H 27 " in the compound of formula V below.
  • R 1 is a mixture of "isotridecyl” and “isopentadecyl” hydrocarbon groups.
  • Said mixture of ""isotridecyl” and “isopentadecyl” groups denotes a mixture of isomers of hydrocarbon group which has thirteen or fifteen carbon atoms, respectively, and an average branching degree in the range of from 0.1 to 0.9 (i.e. the C 13 - or C 15 -isomers on average have 0.1 to 0.9 branches in their carbon chain).
  • the mixture of isotridecyl and isopentadecyl groups can be introduced into an organic molecule from commercially available sources, e.g. by a known hydroformylation reaction of corresponding commercially available alpha-olefins.
  • the mixture of "isotridecyl” and “isopentadecyl” is abbreviated to "iC 13 H 27 /iC 15 H 31 " in the compound of formula IX below.
  • Particularly preferred compounds of formula Ib according to and for the uses and methods of the invention are selected from the group of compounds of formulas III to IX, shown below:
  • the invention also relates to a composition, comprising a compound of formula Ib or a salt thereof, and conventional solvents (including water) and/or additives.
  • the invention also relates to a use of a composition comprising a compound of formula I or a salt thereof, preferably as defined above (or as defined above as preferred) and/or a compound of formula Ib or a salt thereof, as defined above (or as defined above as preferred), for modifying or treating at least one surface of a semiconductor substrate.
  • compositions comprising a compound of formula I or a salt thereof and/or a compound of formula Ib or a salt thereof discussed herein apply mutatis mutandis to the inventive use of a compound of formula I, the inventive method of making a semiconductor substrate, preferably a microelectronic device, the inventive method of cleaning or rinsing a semiconductor substrate and/or the compounds of formula Ib according to the invention
  • the compounds of formula I as disclosed herein can be prepared by methods generally known in the art, e.g. by methods as disclosed in documents US 7,022,861 ; WO 2009/048611 A2 or WO 02/097393 A2 , or by A. Tehrani-Bagha et al., Current Opinion in Colloid & Interface Science, Vol. 12 Is. 2 (2007) 81-9 , or literature cited therein.
  • R 1 and R 2 in reaction schemes 1 and 2 have the meanings or preferred meanings as given above for the compound of formula lb.
  • the resulting mixture was allowed to warm to 20 °C and stirred for 20 h at this temperature. Then, the mixture was gently heated to 50 °C and stirred at this temperature for 20 h. The mixture was allowed to cool to 20 °C and a further amount of dichloro methane (6 g dichloro methane per 1 g alkyl isocyanate) was added. The organic phase was extracted with 1 M aqueous hydrochloric acid (half the volume of the dichloro methane volume). The organic layer was separated and dried over MgSO 4 . The dried organic solvent was removed in a rotary evaporator at 40 °C and a pressure of 10-20 hPa within 4 hours. The desired structure was confirmed by 1 H-NMR in each case.
  • the alkyl urethane alkylene carboxylic acid methyl ester (for preparation see procedural step b) above, 1.0 molar equivalent) was mixed with water (6.2 g water per 1 g alkyl urethane alkylene carboxylic acid methyl ester) and sodium hydroxide solution (1.0 molar equivalent, 50 % w/w NaOH in water) at room temperature. The mixture was stirred for 20 h at 20 °C and afterwards for 24 h at 50 °C.
  • the alkyl urethane alkylene carboxylic acid (for preparation see procedural step c) above; 1.0 molar equivalent) was mixed with ethanol (15.6.2 g ethanol per 1 g alkyl urethane alkylene carboxylic acid) and a solution of ammonia in ethanol (10 molar equivalents NH 3 of a 4% w/w solution of NH 3 in ethanol) at room temperature.
  • the flask was fitted to a rotary evaporator and rotated for 30 min at 25 °C and 2 h at 60 °C.
  • the ethanol was gently removed at 60 °C and reduced pressure (30 to 200 hPa) within 4 hours.
  • the crude ammonium salt was mixed with tert-butyl methyl ether (3 g tert-butyl methyl ether per 1 g crude ammonium salt) at 60 °C in an ultrasonic bath and then cooled to 20 °C.
  • the purified ammonium salt was filtered off and traces of tert-butyl methyl ether were removed by applying gently reduced pressure.
  • the desired structure was confirmed by 1 H-NMR in each case.
  • crude product from step d) (6 g) was dissolved in ethanol (20 ml) and stirred at 20 °C. Then, 40 ml of NH 4 OH in water (25 wt.-% in water) was added at 25 °C over 30 min. Then, the resulting mixture was diluted with methanol (500 g) and charcoal (10 g) was added. Charcoal was filtered off and the solvent was gently removed at 25 °C and at a pressure of ⁇ 10 hPa. 6 g of a crude product was isolated.
  • the mixture of compounds of formulae X and XI was dried by removing the solvents (water and methanol) and the resulting solid was used in the test.
  • test compounds Compound of formula V according to the invention.
  • the total weight (mass) of an empty cylindrical quartz glass tube (length: 3 cm, diameter: 20 cm; not containing any test compound) was measured at room temperature. Then, the test compounds (1,35 g) were loaded into the quartz glass tube in each case and the total weight (mass) of the quartz glass tube filled with the test compounds was measured at room temperature. The quartz glass tube filled with a respective test compound was then evacuated to a pressure of 1 mbar (1 hPa) and heated to a temperature of 200 °C for 30 min. After cooling to room temperature, the total weight (mass) of the quartz glass tube (including the remainders/residuals from the test compounds or their fragments) was measured again.
  • Table 1 Test results from glass tube decomposition test Compound Result Compound of formula V (according to the invention) 1 mg residual found after heating and evacuation (0.074 wt.-%) Mixture of compound of formula X and compound of formula XI (comparison, not according to the invention) 400 mg residual found after heating and evacuation (29.6 wt.-%)
  • test compounds were tested in example 4 ("test compounds”).
  • a silicon wafer was cleaned in aqueous HF (1% w/w in water) solution and rinsed with water followed by heating the wafer at 200°C for 1 h.
  • the resulting contact angle of water on the wafer's surface was found to be about 120° in all cases (see table 2: "pre-treatment value").
  • test solution 0.5 g/L of the respective test compound in water, see table 2 below
  • pure water control
  • the contact angle of water was then determined on the wafer's surface after this pre-treatment with the test solution and water. It was found that the contact angles of water determined at the positions on the wafer's surface where the test solution had been applied (and dried) showed reduced values (see table 2: "treatment value") when compared with the contact angle values of water determined at the positions where the pure water had been applied.
  • the silicon wafer was then heated to 200°C for 30 min and cooled to room temperature again to remove the test compounds from its surface.
  • the contact angle of water was then again determined at the positions as explained above (see table 2: "post-treatment value"). It was found that after the heat treatment, the contact angles of water determined at the positions on the wafer's surface where the test solution had been applied, nearly reached the pre-treatment values again.
  • Table 2 Results from contact angle test Test Compound or Control Contact angle of water [°] - pre-treatment value Contact angle of water [°] - treatment value Contact angle of water - [°] post-treatment value Water 112 109 113 Compound of formula III 123 73 122 Compound of formula IV 117 77 119 Compound of formula V 119 29 108 Test Compound or Control Contact angle of water [°] - pretreatment value Contact angle of water [°] - treatment value Contact angle of water - [°] post-treatment value Compound of formula VII 117 36 105
  • test compounds according to the invention (“cleavable surfactants”) could be contacted with the surface of a silicon wafer for the purpose of treating or modifying, in particular cleaning or rinsing, the contacted surface and - after being cleaved by the trigger of heat (heating to a temperature of 200 °C) - could be removed or completely removed again by evaporation.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Claims (15)

  1. Utilisation d'un composé organique de formule I

            A-L-B     (I),

    ou d'un sel correspondant,
    A étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 4 à 20, préférablement de 6 à 16, atomes de carbone, qui est substitué par 1 à 4 groupes éther, ou est non substitué,
    B étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 1 à 6, préférablement de 1 à 4, atomes de carbone, qui est substitué par un ou deux groupes ioniques indépendamment choisis parmi des groupes anioniques, préférablement carboxylate, sulfate, sulfonate ou phosphate ; et des groupes cationiques, préférablement ammonium qui est non substitué ou substitué par 1 à 3 groupes C1-4-alkyle à chaîne droite ou ramifié ;
    et
    L étant un groupe uréthane,
    en tant qu'additif clivable dans la modification et/ou le traitement d'au moins une surface d'un substrat semi-conducteur,
    où le composé de formule I est clivé en un ensemble de fragments après ladite modification ou ledit traitement, pour faciliter son élimination de ladite surface.
  2. Utilisation selon la revendication 1, dans laquelle
    - dans le composé de formule I ou son sel, L est lié via des liaisons covalentes à l'un quelconque parmi A et B,
    et/ou
    - le composé de formule I ou son sel possède un poids moléculaire n'excédant pas 1 500 g/mole, préférablement n'excédant pas 1 000 g/mole.
  3. Utilisation selon l'une quelconque des revendications précédentes,
    - l'additif clivable étant un tensioactif clivable et/ou
    - l'utilisation étant dans le traitement, préférablement dans le nettoyage et/ou le rinçage, d'au moins une surface d'un substrat semi-conducteur.
  4. Utilisation selon l'une quelconque des revendications précédentes, préférablement selon la revendication 3,
    - le clivage dudit composé de formule I étant induit par le déclenchement de chaleur, préférablement à une température de clivage dans la plage allant de 100 à 400 °C, plus préférablement dans la plage allant de 125 à 300 °C et le plus préférablement dans la plage allant de 150 à 250 °C,
    et/ou
    - ladite élimination étant réalisée par évaporation, préférablement à une température dans la plage allant de 100 à 400 °C, plus préférablement dans la plage allant de 125 à 300 °C, le plus préférablement dans la plage allant de 150 à 250 °C ; ou à une température inférieure à ladite température.
  5. Utilisation selon l'une quelconque des revendications précédentes,
    - ledit substrat semi-conducteur étant choisi dans le groupe constitué par une plaquette semi-conductrice, une plaquette semi-conductrice intermédiaire et un dispositif microélectronique,
    et/ou
    - ladite modification étant choisie dans le groupe constitué par un scellement des pores de matériaux diélectriques à faible k, une réparation de films de matériaux diélectriques à faible k, une modification du potentiel zêta d'au moins une surface du substrat semi-conducteur, une modification de l'angle de contact sur au moins une surface du substrat semi-conducteur, une modification des propriétés d'adsorption ou d'adhérence d'au moins une surface du substrat semi-conducteur en relation avec le composé de formule I et l'inhibition de la corrosion ;
    et/ou
    - ledit traitement étant choisi dans le groupe constitué par un nettoyage et un rinçage.
  6. Utilisation selon l'une quelconque des revendications précédentes, préférablement selon la revendication 3, dans laquelle dans le composé de formule I ou son sel,
    A est un groupe monovalent R1-CH2-, où
    R1 est un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 4 à 20, préférablement de 6 à 16, atomes de carbone ;
    et
    B est un groupe ionique monovalent de formule II
    Figure imgb0026
    R2 est un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 1 à 6, préférablement de 1 à 4, atomes de carbone et
    Y+ est un cation ammonium chargé une fois qui est non substitué ou substitué par 1 à 3 groupes C1-4-alkyle à chaîne droite ou ramifié ; et préférablement est ammonium non substitué.
  7. Procédé de fabrication d'un substrat semi-conducteur, comprenant les étapes suivantes :
    - fabrication ou mise à disposition d'un substrat semi-conducteur, préférablement d'une plaquette semi-conductrice de départ ou d'une plaquette semi-conductrice intermédiaire, possédant au moins une surface,
    - mise en contact de ladite au moins une surface avec un composé organique de formule (I) :

            A-L-B     (I),

    ou un sel correspondant,
    A étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 4 à 20, préférablement de 6 à 16, atomes de carbone, qui est substitué par 1 à 4 groupes éther, ou est non substitué,
    B étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 1 à 6, préférablement de 1 à 4, atomes de carbone, qui est substitué par un ou deux groupes ioniques indépendamment choisis parmi des groupes anioniques, préférablement carboxylate, sulfate, sulfonate ou phosphate ; et des groupes cationiques, préférablement ammonium qui est non substitué ou substitué par 1 à 3 groupes C1-4-alkyle à chaîne droite ou ramifié ;
    et
    L étant un groupe uréthane,
    de sorte que ladite surface est modifiée ou traitée,
    et subséquemment
    - clivage dudit composé organique ou de son sel sur ladite surface en un ensemble de fragments et préférablement subséquemment
    - élimination dudit ensemble de fragments de la surface mise en contact.
  8. Procédé selon la revendication 7,
    - ladite étape de mise en contact étant conduite de sorte à obtenir au moins un effet choisi dans le groupe constitué par la modification par un scellement des pores de matériaux diélectriques à faible k, une réparation de films de matériaux diélectriques à faible k, une modification du potentiel zêta d'au moins une surface du substrat semi-conducteur intermédiaire, une modification de l'angle de contact sur au moins une surface du substrat semi-conducteur intermédiaire, une modification des propriétés d'adsorption ou d'adhérence d'au moins une surface du substrat semi-conducteur intermédiaire en relation avec le composé de formule I et/ou l'inhibition de la corrosion ; et un traitement par nettoyage et/ou rinçage ;
    préférablement l'au moins un effet étant ou comprenant un nettoyage et/ou un rinçage, plus préférablement comprenant des rinçages de réduction de défauts, des rinçages d'élimination de résidus après calcination, des rinçages d'élimination de résidus après gravure et des rinçages d'élimination de résidus de photorésine,
    et/ou
    - ladite étape de clivage comprenant le clivage de manière thermique dudit composé de formule I ou de son sel sur ladite surface en un ensemble de fragments, préférablement à une température de clivage dans la plage allant de 100 à 400 °C, plus préférablement dans la plage allant de 125 à 300 °C, le plus préférablement dans la plage allant de 150 à 250 °C et à une pression de clivage ;
    et/ou
    - ladite étape d'élimination dudit ensemble de fragments de l'au moins une surface mise en contact comprenant une évaporation de fragments, préférablement
    ∘ à ladite température de clivage dans la plage allant de 100 à 400 °C, plus préférablement dans la plage allant de 125 à 300 °C, le plus préférablement dans la plage allant de 150 à 250 °C; ou à une température inférieure à ladite température de clivage
    et/ou
    ∘ à ladite pression de clivage ou à une pression inférieure à ladite pression de clivage.
  9. Procédé selon l'une quelconque des revendications 7 à 8, le composé de formule I ou son sel possédant un poids moléculaire n'excédant pas 1 500 g/mole, préférablement n'excédant pas 1 000 g/mole.
  10. Procédé, préférablement selon l'une quelconque des revendications 7 à 9, de nettoyage et/ou de rinçage d'un substrat semi-conducteur comprenant les étapes suivantes
    - fabrication ou mise à disposition d'un substrat semi-conducteur possédant au moins une surface et possédant un ou plusieurs matériaux sur au moins l'une de ses surfaces ;
    - mise en contact dudit ou desdits matériaux sur au moins une surface du substrat semi-conducteur avec un composé organique de formule I :

            A-L-B     (I),

    ou un sel correspondant,
    A étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 4 à 20, préférablement de 6 à 16, atomes de carbone, qui est substitué par 1 à 4 groupes éther, ou est non substitué,
    B étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 1 à 6, préférablement de 1 à 4, atomes de carbone, qui est substitué par un ou deux groupes ioniques indépendamment choisis parmi des groupes anioniques, préférablement carboxylate, sulfate, sulfonate ou phosphate ; et des groupes cationiques, préférablement ammonium qui est non substitué ou substitué par 1 à 3 groupes C1-4-alkyle à chaîne droite ou ramifié ;
    et
    L étant un groupe uréthane,
    - élimination dudit composé de formule I ou de son sel de l'au moins une surface, conjointement avec un ou plusieurs desdits matériaux, de sorte qu'un substrat semi-conducteur nettoyé ou rincé en résulte, possédant, fixé à une ou plusieurs de ses surfaces, une quantité résiduelle dudit composé de formule I ou de son sel,
    - clivage d'au moins une fraction, ou de la totalité de ladite quantité résiduelle dudit composé de formule I ou de son sel sur ladite ou lesdites surfaces en un ensemble de fragments par chauffage à une température de clivage à une pression de clivage, chaque fragment possédant un point d'ébullition inférieur à ladite température de clivage, à la pression de clivage appliquée, et
    - élimination, préférablement élimination de manière complète par évaporation dudit ensemble de fragments de ladite surface mise en contact.
  11. Procédé selon la revendication 10, l'étape d'élimination dudit ensemble de fragments comprenant l'évaporation desdits fragments
    - à ladite température de clivage, préférablement dans la plage allant de 100 à 400 °C, plus préférablement dans la plage allant de 125 à 300 °C, le plus préférablement dans la plage allant de 150 à 250 °C ; ou à une température inférieure à ladite température de clivage
    et/ou
    - à ladite pression de clivage ou à une pression inférieure à ladite pression de clivage.
  12. Procédé selon l'une quelconque des revendications 10 à 11, dans lequel dans ledit composé de formule I ou son sel,
    A est un groupe monovalent R1-CH2-, où
    R1 est un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 4 à 20, préférablement de 6 à 16, atomes de carbone ;
    et
    B est un groupe ionique monovalent de formule II
    Figure imgb0027
    R2 est un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 1 à 6, préférablement de 1 à 4, atomes de carbone et
    Y+ est un cation ammonium chargé une fois qui est non substitué ou substitué par 1 à 3 groupes C1-4-alkyle ; et préférablement est ammonium non substitué.
  13. Composé de formule Ib,
    Figure imgb0028
    R1 étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 4 à 20, préférablement de 6 à 16, atomes de carbone,
    R2 étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 1 à 6, préférablement de 1 à 4, atomes de carbone, préférablement R2 ne comprenant pas un centre chiral
    et
    Y+ étant un cation ammonium chargé une fois qui est non substitué ou substitué par 1 à 3 groupes C1-4-alkyle ; et préférablement est ammonium non substitué.
  14. Composé de formule Ib selon la revendication 13,
    R1 étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 7 à 14, préférablement de 7 à 12 atomes de carbone,
    et plus préférablement R1, conjointement avec le groupe méthylène auquel il est lié, étant un groupe hydrocarboné aliphatique choisi dans le groupe constitué par 2-éthylhexyle ; 2-propylheptyle ; n-dodécyle ; 2,5,7,7-tétraméthyloctyle ; isodécyle ; isotridécyle et isopentadécyle,
    R2 étant un groupe hydrocarboné aliphatique à chaîne droite ou ramifié possédant un nombre total de 1 à 2 atomes de carbone et préférablement ne comprenant pas un centre chiral,
    et plus préférablement étant -CH2-,
    et
    Y+ étant ammonium.
  15. Utilisation d'un
    composé de formule Ib ou d'un sel correspondant,
    tel que défini dans l'une quelconque des revendications 13 à 14, pour la modification ou le traitement d'au moins une surface d'un substrat semi-conducteur.
EP19713512.2A 2018-04-04 2019-04-02 Additifs clivables à utiliser dans un procédé de fabrication d'un substrat semi-conducteur Active EP3776635B1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18165603 2018-04-04
PCT/EP2019/058247 WO2019192990A1 (fr) 2018-04-04 2019-04-02 Additifs clivables destinés à être utilisés dans un procédé de fabrication d'un substrat semi-conducteur

Publications (2)

Publication Number Publication Date
EP3776635A1 EP3776635A1 (fr) 2021-02-17
EP3776635B1 true EP3776635B1 (fr) 2022-12-07

Family

ID=61911392

Family Applications (1)

Application Number Title Priority Date Filing Date
EP19713512.2A Active EP3776635B1 (fr) 2018-04-04 2019-04-02 Additifs clivables à utiliser dans un procédé de fabrication d'un substrat semi-conducteur

Country Status (6)

Country Link
US (1) US11742197B2 (fr)
EP (1) EP3776635B1 (fr)
KR (1) KR20200139192A (fr)
CN (1) CN111937121B (fr)
TW (1) TWI823922B (fr)
WO (1) WO2019192990A1 (fr)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU7957494A (en) 1993-09-20 1995-04-10 Waters Corporation Chiral surfactants and methods for their use in chiral separations
US5868821A (en) 1996-01-31 1999-02-09 Richo Company, Ltd. Thermally reversible color forming composition and thermally reversible recording medium using the thermally reversible color forming composition
MXPA02004702A (es) 1999-11-09 2004-09-10 Alcon Inc Analogos interrumpidos por heteroatomos de acido 15-hidroxieicosatetraenoico y metodos de uso.
US7074936B2 (en) 2001-05-29 2006-07-11 Vanderbilt University Cleavable surfactants and methods of use thereof
US7022861B1 (en) 2004-06-10 2006-04-04 Sandia National Laboratories Thermally cleavable surfactants
US7749881B2 (en) 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US8575021B2 (en) 2004-11-22 2013-11-05 Intermolecular, Inc. Substrate processing including a masking layer
EP2197996B1 (fr) 2007-10-11 2012-12-05 Promega Corporation Tensio-actifs clivables
CN104871289B (zh) * 2012-12-14 2017-10-10 巴斯夫欧洲公司 包含表面活性剂和疏水化剂的组合物在处理线间距尺寸为50nm或更低的图案化材料时避免图案崩塌的用途

Also Published As

Publication number Publication date
TWI823922B (zh) 2023-12-01
US11742197B2 (en) 2023-08-29
CN111937121B (zh) 2023-12-08
WO2019192990A1 (fr) 2019-10-10
TW201942106A (zh) 2019-11-01
US20210166934A1 (en) 2021-06-03
CN111937121A (zh) 2020-11-13
KR20200139192A (ko) 2020-12-11
EP3776635A1 (fr) 2021-02-17

Similar Documents

Publication Publication Date Title
KR102330127B1 (ko) 에칭 조성물
TWI791498B (zh) 用來移除在半導體基材上的殘餘物之清潔組成物
CN113412324B (zh) 蚀刻组合物
EP1775337A1 (fr) Composition aqueuse de nettoyage pour éliminer des résidus et son procédé d'utilisation
TWI804519B (zh) 蝕刻組成物
EP3973565B1 (fr) Composition et procédé de gravure sélective d'un masque dur et/ou d'une couche d'arrêt de gravure en présence de couches de matériaux à faible constante diélectrique, de cuivre, de cobalt et/ou de tungstène
US20200339523A1 (en) Composition and process for selectively etching a layer comprising an aluminium compound in the presence of layers of low-k materials, copper and/or cobalt
KR102069345B1 (ko) 반도체 공정용 조성물 및 반도체 공정
TW202108821A (zh) 蝕刻組成物
US11377624B2 (en) Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
KR102084164B1 (ko) 반도체 공정용 조성물 및 반도체 공정
EP3776635B1 (fr) Additifs clivables à utiliser dans un procédé de fabrication d'un substrat semi-conducteur
US11499099B2 (en) Etching composition
KR102629576B1 (ko) 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
CN112752867A (zh) 蚀刻组合物
US20240059968A1 (en) Etching compositions

Legal Events

Date Code Title Description
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: UNKNOWN

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE INTERNATIONAL PUBLICATION HAS BEEN MADE

PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE

17P Request for examination filed

Effective date: 20201104

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)
RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 21/02 20060101ALI20220311BHEP

Ipc: H01L 21/321 20060101AFI20220311BHEP

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: GRANT OF PATENT IS INTENDED

INTG Intention to grant announced

Effective date: 20220707

RAP3 Party data changed (applicant data changed or rights of an application transferred)

Owner name: BASF SE

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE PATENT HAS BEEN GRANTED

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

Ref country code: AT

Ref legal event code: REF

Ref document number: 1536805

Country of ref document: AT

Kind code of ref document: T

Effective date: 20221215

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 602019022859

Country of ref document: DE

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: LT

Ref legal event code: MG9D

REG Reference to a national code

Ref country code: NL

Ref legal event code: MP

Effective date: 20221207

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: NO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20230307

Ref country code: LT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

REG Reference to a national code

Ref country code: AT

Ref legal event code: MK05

Ref document number: 1536805

Country of ref document: AT

Kind code of ref document: T

Effective date: 20221207

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: RS

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: PL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: LV

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: HR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20230308

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SM

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: RO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20230410

Ref country code: EE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: CZ

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: FR

Payment date: 20230421

Year of fee payment: 5

Ref country code: DE

Payment date: 20230427

Year of fee payment: 5

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: IS

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20230407

Ref country code: AL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 602019022859

Country of ref document: DE

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

26N No opposition filed

Effective date: 20230908

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20230402

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LU

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20230402

REG Reference to a national code

Ref country code: BE

Ref legal event code: MM

Effective date: 20230430

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MC

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20230402

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MC

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20230430

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20230402

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20230430

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20230430

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20230402

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20230402

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20221207