EP1368136A1 - Verfahren zur reinigung von mikroelektronischen strukturen - Google Patents

Verfahren zur reinigung von mikroelektronischen strukturen

Info

Publication number
EP1368136A1
EP1368136A1 EP02724947A EP02724947A EP1368136A1 EP 1368136 A1 EP1368136 A1 EP 1368136A1 EP 02724947 A EP02724947 A EP 02724947A EP 02724947 A EP02724947 A EP 02724947A EP 1368136 A1 EP1368136 A1 EP 1368136A1
Authority
EP
European Patent Office
Prior art keywords
cleaning composition
cleaning
composition
carbon dioxide
liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP02724947A
Other languages
English (en)
French (fr)
Other versions
EP1368136A4 (de
Inventor
James P. Deyoung
Stephen M. Gross
James B. Mcclain
Michael E. Cole
David E. Brainard
Joseph M. Desimone
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MiCell Technologies Inc
Original Assignee
MiCell Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/932,063 external-priority patent/US6562146B1/en
Priority claimed from US09/951,247 external-priority patent/US6602351B2/en
Priority claimed from US09/951,259 external-priority patent/US6596093B2/en
Priority claimed from US09/951,092 external-priority patent/US6613157B2/en
Priority claimed from US09/951,249 external-priority patent/US6641678B2/en
Application filed by MiCell Technologies Inc filed Critical MiCell Technologies Inc
Publication of EP1368136A1 publication Critical patent/EP1368136A1/de
Publication of EP1368136A4 publication Critical patent/EP1368136A4/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Definitions

  • the present invention concerns methods and apparatus for removing water and aqueous-borne solutes from substrates such as semiconductor substrates, MEM's, or optoelectronic devices with liquid or supercritical carbon dioxide
  • Photoresists are photosensitive films used for transfer of images to a substrate.
  • a coating layer of a photoresist is formed on a substrate and the photoresist layer is then exposed, through a photomask or by other techniques, to a source of activating radiation.
  • Exposure to activating radiation provides a photoinduced chemical transformation of the photoresist coating to thereby transfer the pattern of the photomask (or other pattern generator) to the photoresist coated substrate.
  • the photoresist is developed to provide a relief image that permits selective processing of a substrate. See. e.g., U.S. Patent No. 6,042,997.
  • a photoresist can be either positive-acting or negative-acting.
  • negative acting resists the solubility of the exposed region is decreased such that it remains on the wafer during development while the non-exposed region is removed.
  • positive acting resists the solubility of the exposed region increases in the developer solution, so it is removed during the development step leaving the unexposed region unaffected.
  • Positive and negative acting resist materials typically incorporate chemical functionality that undergoes a transformation upon exposure to UN light at a given wavelength. The transformation is often referred to as a "polarity switch" because polymer polarity increases or decreases are often the driving force for changes in the solubility of the polymer in the developing solution.
  • microelectronic substrates e.g. photoresist coated semiconductor wafers, MEMS, opto-electronic devices, photonic devices, flat panel displays, etc
  • a drying watermark e.g. photoresist coated semiconductor wafers, MEMS, opto-electronic devices, photonic devices, flat panel displays, etc.
  • These watermarks result from the concentration of solutes in the aqueous processing, cleaning, or drying fluid, as said fluid is dried.
  • this watermark can negatively impact the manufacturing yield or ultimate performance of the device.
  • a first aspect of ⁇ the present invention is a method of cleaning a microelectronic device, comprising the steps of: providing a substrate having a surface portion to. be cleaned, providing a densified carbon dioxide cleaning composition, the composition comprising carbon dioxide and a cleaning adjunct, the cleaning adjunct selected from the group consisting of cosolvents, surfactants, and combinations thereof; immersing the surface portion in the densified carbon dioxide composition; and then removing said cleaning composition from the surface portion; while maintaining the cleaning composition as a homogeneous composition during at least one of said immersing step and said removing step.
  • devices that may be cleaned by the present invention include, but are not limited to, microelectrom ' echanical devices (MEMs), optoelectronic devices, and resist-coated substrates.
  • the present invention provides a method for removing water and entrained solutes from a microelectronic device such as resist- coated substrate (such as a semiconductor substrate), a MEMs device, or an optoelectronic device is disclosed herein.
  • a microelectronic device such as resist- coated substrate (such as a semiconductor substrate), a MEMs device, or an optoelectronic device is disclosed herein.
  • the cleaning/removal of the water may also be referred to as "drying" of the water from the device.
  • the method comprises the steps of: (a) providing a substrate having a an imaged or patterned feature such as a resist coated silicon wafer and having water on the resist coating; (b) providing a densified (e.g., liquid or supercritical) carbon dioxide drying composition, the drying composition comprising carbon dioxide and a drying adjunct, the drying adjunct selected from the group consisting of cosolvents, surfactants, and combinations thereof; (c) immersing the surface portion in the densified carbon dioxide drying composition; and then (d) removing the drying composition from the surface portion.
  • a densified (e.g., liquid or supercritical) carbon dioxide drying composition the drying composition comprising carbon dioxide and a drying adjunct, the drying adjunct selected from the group consisting of cosolvents, surfactants, and combinations thereof.
  • a further aspect of the present invention is a method of cleaning a microelectronic device, to remove soluble material, particulate matter, and/or contaminants, etc.
  • the method comprises the steps of: providing a substrate having a surface portion to be cleaned, providing a densified carbon dioxide cleaning composition, the composition comprising carbon dioxide and, optionally but preferably a cleaning adjunct, the cleaning adjunct selected from the group consisting of cosolvents, surfactants, and combinations thereof; immersing the surface portion in the densified carbon dioxide composition to thereby clean the surface portion; and then removing said cleaning composition from the surface portion.
  • the immersing/cleaning step described above is preferably carried out with cyclical phase modulation, as explained in greater detail below, during some or all of that step.
  • a further aspect of the present invention is a method of cleaning a microelectronic device, comprising the steps of: providing a substrate having a surface portion to be cleaned, providing a densified carbon dioxide cleaning composition, the composition comprising carbon dioxide and water.
  • a densified carbon dioxide cleaning composition the composition comprising carbon dioxide and water.
  • one or more cleaning adjuncts are included in the cleaning composition, in an amount sufficient to facilitate cleaning of the article to be cleaned.
  • Suitable cleaning adjuncts include, for example, cosolvents, surfactants, water-soluble cleaning adjuncts, and combinations thereof.
  • the next steps of the method comprise immersing the surface portion in the densified carbon dioxide cleaning composition to thereby clean the article, and then removing said cleaning composition from the surface portion.
  • a further aspect of the present invention is a method of cleaning/removing solid particulates from a microelectronic device, the method comprising the steps of: providing a substrate having a surface portion to be cleaned, providing a densified carbon dioxide cleaning composition, the composition comprising carbon dioxide and, optionally but preferably a cleaning adjunct, the cleaning adjunct selected from the group consisting of cosolvents, surfactants, and combinations thereof; immersing the surface portion in the densified carbon dioxide composition for a time sufficient to remove solid particulate contaminants therefrom; and then removing said cleaning composition from the surface portion.
  • Particulate contamination of a substrate may be found, for example, following chemical- mechanical planarization of a substrate.
  • process parameters are preferably controlled so that the drying and cleaning composition is maintained as a homogeneous composition during the immersing step, the removing step, or both the immersing and removing step, without substantial deposition of the drying adjunct or the aqueous entrained solutes on the resist coating, the patterned feature, or the mechanical, electrical, or optical components of the device or circuit.
  • Figure 1 shows a substrate having a patterned resist layer formed thereon, with water present in various locations thereon.
  • Figure 2 schematically illustrates an apparatus for carrying out the methods of the present invention.
  • Figure 3 depicts a phase diagram of predominantly CO 2 system representing the plausability of a transition from a predominantly CO 2 supercritical mixture to a gas avoiding a liquid phase.
  • FIG. 4 schematically illustrates an apparatus for carrying out the methods of the present invention.
  • FIG. 5 schematically illustrates another embodiment of an apparatus for carrying out the methods of the present invention.
  • Examples of devices that may be cleaned by the present invention include, but are not limited to, microelectromechanical devices (MEMs), optoelectronic devices, and resist-coated substrates.
  • the resist typically comprises a polymeric material, and may be a positive-acting resist or a negative-acting resist.
  • the resist may be patterned or unpatterned, developed or undeveloped at the time the drying process is carried out.
  • Any suitable resist composition can be used to carry out the present invention, including but not limited to those described in U.S. Patents Nos. 6,042,997; 5,866,304; 5,492,793; 5,443,690; 5,071,730; 4,980,264; and 4,491,628. Applicants specifically intend that the disclosures of all United States patent references that are cited herein be incorporated herein by reference in their entirety.
  • the resist compositions may be applied to the substrate as a liquid compositions in accordance with generally known procedures, such as by spinning, dipping, roller coating or other conventional coating technique.
  • spin coating the solids content of the coating solution can be adjusted to provide a desired film thickness based upon the specific spinning equipment utilized, the viscosity of the solution, the speed of the spinner and the amount of time allowed for spinning.
  • the resist compositions are suitably applied to substrates conventionally used in processes involving coating with photoresists.
  • the composition may be applied over silicon wafers (that may include one or more layers thereon such as silicon dioxide, silicon nitride, polysiloxand and/or metal, etc.) for the production of microprocessors and other integrated circuit components.
  • silicon wafers that may include one or more layers thereon such as silicon dioxide, silicon nitride, polysiloxand and/or metal, etc.
  • Aluminum-aluminum oxide, gallium arsenide, ceramic, quartz or copper substrates also may be employed.
  • Substrates used for liquid crystal display and other flat panel display applications are also suitably employed, e.g. glass substrates, indium tin oxide coated substrates and the like.
  • the photoresist coating is tack free. Alternatively it may be dried by the procedures described herein. Thereafter, it is imaged in a conventional manner. The exposure is sufficient to effectively activate the photoactive component of the photoresist system to produce a patterned image in the resist coating layer. Following exposure, the film layer of the composition may be baked.
  • the film is developed by contacting the film resist layer to any suitable developer solution (the choice of which will depend in part upon the particular choice of resist material).
  • the developer may be a polar developer, for example an aqueous based developer such as an inorganic alkali exemplified by sodium hydroxide, potassium hydroxide, sodium carbonate, sodium bicarbonate, sodium silicate, sodium metasilicate; quaternary ammonium hydroxide solutions such as a tetra-alkyl ammonium hydroxide solution; various amine solutions such as ethyl amine, n-propyl amine, diethyl amine, di-n-propyl amine, triethyl amine, or methyldiethyl amine; alcohol amines such as diethanol amine or triethanol amine; cyclic amines such as pyrrole, pyridine, etc.
  • development is in accordance with art recognized procedures. After development the resist is optionally rinsed (for example with an aqueous
  • the developed substrate may be selectively processed on those areas bared of resist, for example by chemically etching or depositing on substrate areas bared of resist in accordance with procedures known in the art.
  • suitable etchants include a gas etchant, e.g. a chlorine or fluorine-based etchant such a CF 4 or CF 4 /CHF 3 etchant applied as a plasma stream, in accordance with known techniques.
  • Carbon-dioxide cleaning drying compositions used to carry out the present invention typically comprise: (a) carbon dioxide to balance, typically at least 20, 30, 40, 50 or 60 percent;
  • a water-soluble compound cleaning adjunct to be delivered is included in an amount sufficient to facilitate cleaning of the substrate.
  • at least one of the surfactant and/or the co-solvent is included (e.g., by at least 0.01 percent) in the cleaning/drying composition, and optionally both a surfactant and a co-solvent may be included in the composition.
  • Water may or may not be included in the composition, depending upon the particular cleaning application and the nature of the substrate. Percentages herein are expressed as percentages by weight unless otherwise indicated.
  • the cleaning/drying composition may be provided as a liquid or supercritical fluid, including cryogenic liquids. Liquid and supercritical carbon dioxide are herein together referred to as "densified” carbon dioxide in accordance with established usage.
  • the organic co-solvent may be one compound or a mixture of two or more ingredients
  • the organic co-solvent may be or comprise an alcohol (including diols, triols, etc.), ether, amine, ketone, carbonate, or alkanes, or hydrocarbon (aliphatic or aromatic)
  • the organic co-solvent may be a mixture of compounds, such as mixtures of alkanes as given above, or mixtures of one or more alkanes in combination with additional compounds such as one or more alcohols as described above, (e.g., from 0 or 0.1 to 5% of a Cl to C15 alcohol (including diols, triols, etc.)).
  • Any surfactant can be used to carry out the present invention, including both surfactants that contain a CO 2 -philic group (such as described in PCT Application WO96/27704) linked to a CO -phobic group (e.g., a lipophilic group) and surfactants that do not contain a CO 2 -philic group (i.e., surfactants that comprise a hydrophilic group linked to a hydrophobic (typically lipophilic) group).
  • a single surfactant may be used, or a combination of surfactants may be used. Numerous surfactants are known to those skilled in the art.
  • Examples of the major surfactant types that can be used to carry out the present invention include the: alcohols, alkanolamides, alkanolamines, alkylaryl sulfonates, alkylaryl sulfonic acids, alkylbenzenes, amine acetates, amine oxides, amines, sulfonated amines and amides, betaine derivatives, block polymers, carboxylated alcohol or alkylphenol ethoxylates, carboxylic acids and fatty acids, a diphenyl sulfonate derivatives, ethoxylated alcohols, ethoxylated alkylphenols, ethoxylated amines and/or amides, ethoxylated fatty acids, ethoxy
  • isethionates lanolin-based derivatives, lecithin and lecithin derivatives, lignin and lignin derivatives, maleic or succinic anhydrides, methyl esters, monoglycerides and derivatives, olefin sulfonates, phosphate esters, phosphorous organic derivatives, polyethylene glycols, polymeric (polysaccharides, acrylic acid, and acrylamide) surfactants, propoxylated and ethoxylated fatty acids alcohols or alkyl phenols, protein-based surfactants, quaternary surfactants, sarcosine derivatives, silicone-based surfactants, soaps, sorbitan derivatives, sucrose and glucose esters and derivatives, sulfates and sulfonates of oils and fatty acids, sulfates and sulfonates ethoxylated alkylphenols, sulfates of alcohols, sulfates of ethoxylated
  • Figure 1 illustrates a resist-coated substrate article 10 to be dried by the method of the present invention.
  • the article comprises a substrate 11, which may comprise silicon or any other suitable material as described above, and which may itself comprise one or more layers, having a resist coating 12 formed thereon.
  • Water droplets 14, 15, to be removed by drying, are on the top surface and in a trench formed in the resist coating.
  • Figure 2 schematically illustrates an apparatus for carrying out the method of the invention.
  • the apparatus comprises an enclosed drying vessel 21, suitable for containing liquid or supercritical carbon dioxide, in which vessel the coated substrate 10 (or other microelectronic device to be cleaned) is positioned on a suitable support 27.
  • the drying vessel may include a door, a stirring device or other means of agitation, a view window, a compressor connected to the drying vessel to increase or decrease the pressure therein, a heat exchanger, heater or cooler connected to the drying vessel to increase or decrease the temperature of the contents thereof, etc.
  • a carbon dioxide cleaning/drying composition supply 22 is connected to the drying vessel by appropriate piping.
  • the cleaning/drying composition supply 22 may itself comprise one or more storage vessels, pumps, valves, piping for mixing the drying adjunct into the carbon dioxide, etc.
  • the vessel may be filled with the cleaning/drying composition to a level 28 above the article to be cleaned 10.
  • the system includes a supply of a second gas, second material, and/or additional carbon dioxide 24 connected to the drying vessel 21.
  • a developer solution supply 25 may be connected to the vessel so that both development and drying of the substrate may be carried out in the same vessel 21.
  • a draining system 26 is preferably connected to the vessel 21 for draining whatever composition is contained therein.
  • the draining system may itself comprise appropriate pumps, valves, compressors and the like (some of which components may be serve multiple functions in conjunction with supply elements described above), may include a still for distilling and optionally recycling ingredients such as carbon dioxide, and may include suitable piping, valves, etc. for recycling various compositions or constituents thereof to supply elements for re-use.
  • used drying composition may be distilled to allow carbon dioxide to be recycled and reused as part of the drying composition, or to the source of additional carbon dioxide supply.
  • the method of the invention comprises the steps of: (a) providing a substrate having a an imaged or patterned feature such as a resist coated silicon wafer and having water on the resist coating;
  • a densified (e.g., liquid or supercritical) carbon dioxide drying composition comprising carbon dioxide and a drying adjunct, the drying adjunct selected from the group consisting of cosolvents, surfactants, and combinations thereof;
  • the process parameters may be controlled so that the drying composition is maintained as a homogeneous composition during the immersing step, the removing step, or both the immersing and removing step, without substantial deposition or redeposition of the drying adjunct or contaminants on the resist coating.
  • the providing step is carried out by mixing the carbon dioxide with the adjunct to produce a homogeneous solution, and then the immersing step is carried out while maintaining the drying composition as a homogeneous solution.
  • Such mixing can be carried out in the drying composition supply 22 by any suitable means, such as stirring, injection under pressure, etc.
  • the removing step is preferably carried out while maintaining the drying composition as a homogeneous solution. In general, this is achieved by inhibiting the boiling of the drying composition as it is drained from the drying vessel. When draining liquid CO 2 from a vessel the liquid reaches a state where it is at equilibrium with CO 2 vapor, termed saturated vapor pressure. To maintain saturation, as liquid is removed from the vessel by venting or pumping preferably from the bottom of the vessel, the liquid phase boils to generate vapor for the increasing volume of the vapor phase. This boiling which may be nucleated at liquid/gas, and liquid/solid interfaces causes adjuncts with lower vapor pressure than CO 2 including, co-solvents and surfactants, and solute contaminants to concentrate at interfaces.
  • Concentrated adjuncts, deposited contaminants and interfacial stresses created by boiling at liquid/solid interfaces can be damaging to resist features, MEM's, or other patterned microdevices.
  • feature sizes less 130- nmwith aspect ratios greater than 3 are particularly susceptible to damage. Process controls to prevent such damage are as follows.
  • the removing step may be carried out by pressurizing the enclosed chamber with a second compressed gas (e.g., helium, nitrogen, air, mixtures thereof) from supply 24 by an amount sufficient to inhibit boiling of the drying composition during the draining step.
  • a second compressed gas e.g., helium, nitrogen, air, mixtures thereof
  • the second gas is preferably one that is substantially immiscible in the drying composition possessing a saturated vapor pressure that is higher than CO .
  • the second gas may be used to itself force the drying composition from the vessel, or the drying composition may be pumped or otherwise drained from the vessel while the second gas maintains an over-pressurization at the gas-liquid interface formed in the wash vessel during draining thereof.
  • the draining step can be accomplished without boiling by liquid-gas equilibration with a secondary chamber or storage vessel.
  • drying chamber 21 is connected to storage vessel 31 by gas-side line 32 (top), and liquid-side line 33.
  • Each line contains a valve 34, 35 to separate or isolate vessels 21 and 31 from one another.
  • storage vessel 31 contains a liquid CO composition at a saturated pressure equal to or in excess of the saturated vapor pressure in the cleaning/drying vessel 21. Draining may be accomplished by first opening the gas-side connection 32 between vessels 21 and 31, and then opening the liquid-side connection 33. Liquid flows from cleaning vessel 21 to storage vessel 31 by gravity, if 21 is located sufficiently above 31, amd/or by pumping.
  • the removing step may be carried out by first adding a second material (e.g., a cosolvent as described above or a secondary gas) to the supercritical drying composition so that it is converted to a liquid drying composition, which can then be removed from the vessel as described above.
  • a second material e.g., a cosolvent as described above or a secondary gas
  • the gas should be chosen from those having a saturated vapor pressure that is higher than that of CO 2 and/or a critical pressure and temperature higher than that of CO 2 .
  • Exemplary gases include but are not limited to: nitrogen, argon, helium, oxygen, and mixtures thereof.
  • the adjunct containing fluid when the drying composition is in the supercritical state, can be sufficiently diluted prior to the draining step by simultaneous addition of pure supercritical CO 2 and removal of adjunct-containing supercritical CO 2 .
  • the supercritical fluid is vented from the drying vessel by maintaining the fluid in the supercritical state until a transition is made directly to the gas state thus avoiding the liquid state. This is accomplished during the draining/venting step by maintaining the fluid temperature above the critical temperature of the mixture (Tc) until the pressure in the vessel is below the critical pressure of the mixture (Pc).
  • Figure 3 depicts a phase diagram of predominantly CO 2 system representing the plausibility of a transition from a predominantly CO 2 supercritical mixture to a gas avoiding a liquid phase.
  • the removing step is carried out by diluting the drying composition with additional carbon dioxide from supply 24, during which dilution the drying composition is removed from the vessel by draining system 23. Since larger quantities of carbon dioxide are required for such a technique, the use of a still to distill drained carbon dioxide, along with appropriate piping and valving for returning the carbon dioxide to supply 22 or supply 24 for subsequent re-use, is preferred.
  • a secondary gas is used, at a pressure range above the saturation point of CO 2 gas, to displace liquid and gaseous CO in the drying chamber leaving a predominance of the secondary gas in the vapor phase.
  • the secondary gas possessing a lower heat of compression, can be vented from the chamber to ambient pressure with less heat loss to the system.
  • Joule-Thomson coefficient
  • the expansion of the gas from high pressure to atmospheric conditions results in less change in temperature at or in close proximity to the substrate.
  • (dT/dP) H
  • the secondary gas is useful in avoiding thermal shock when rapid cycling of pressure is desired for high throughput.
  • Substrates such as silicon wafers can crack or become damage when significant temperature gradients exist in that substrate. Cooling of chambers and vessels from gaseous expansion can also add valuable processing time and require substantial heat input for temperature regulation. The use of a secondary gas can minimize heat loss and heat inputs, potentially reducing cycle time and energy requirements.
  • step is preferably carried out with cyclical phase modulation (CPM), or while cyclically modulating/changing the phase of the cleaning composition (i.e., cyclically changing the phase of the cleaning composition from liquid to gas, liquid to supercritical, supercritical to gas, supercritical to liquid, etc.).
  • CPM employs processing controls of the CO 2 dense phase/cleaning composition that result in (1) enhanced physical and (2) enhanced chemical action on resists, resist residues, organic residues, particulate matter, and the like.
  • liquid and supercritical CO 2 plasticize organic polymers whereby CO 2 permeates the bulk phase at a molecular level, augmenting intra- and inter- molecular bonding interactions.
  • CPM is used to control the partitioning of chemical adjuncts in A) the continuous phase, B) at the surface of the substrate, and C) in the bulk-phase of the material to be removed, such as the resist residue.
  • CPM with dense phase carbon dioxide and chemical adjuncts enhances the removal of resists, resists residues, particulate, and organic materials by enhancing physical and chemical action on these materials encountered during the manufacturing of microelectronic substrates.
  • Cyclical Phase Modulation during an example wafer cleaning process.
  • a semiconductor wafer is cleaned after an etch step in the following process, Figure 4, using dense phase carbon dioxide.
  • Dense carbon dioxide is stored in pressure vessel (I) (50) at conditions of between 300 and 5000 psi and a temperature of between -20°C and 100°C, further described as the high-pressure vessel.
  • a wafer is loaded into cleaning chamber (III) (51) in an automated or manual fashion where the wafer is held on a platform (XI) (52) connected to a chuck and a sealed shaft (not shown) so that the . platform can spin.
  • Cleaning chamber (III) is pressurized with clean carbon dioxide from either a bulk storage tank (XII) (54) through valve (i) (55) or from pressure vessel (I) (50) through valve (a) (56) to a pressure of between 300 psi and 5000 psi at a temperature of between -20°C and 100°C.
  • the temperature of the dense CO 2 can be modulated using heat exchanger (II) (60).
  • the temperature of the processing phase in chamber (III) (51) can be modulated using heat exchangers internal or external to the chamber.
  • adjunct addition module serves to store, filter, mix and sequentially or simultaneously meter adjunct materials to the cleaning chamber.
  • the dense phase CO is optionally circulated from the cleaning chamber through valve (e) (66) using pump (VII) (63) through solid separation filter (VIII) (64) and valve (f) (65) back into the chamber through the spray bar (X) (53).
  • the wafer can be spun at rates between zero and 3000 rpm. Also during the cleaning step, the density of the system is cyclically modulated.
  • valve (a) (56) is first opened to allow for the flow of mass between (I) and (III) then closed.
  • Valve (d) (71) is then opened to allow for the flow of mass between (III) and (N).
  • Valve (g) (72) is then opened to separator/abatement module (IX) (73) such as a filter or other separator that serves to separate chemical adjuncts from CO 2 and removed waste.
  • the abatement module also allows for removed CO mass to be re- added to tank (I) through valve (h) (74) completing the mass flow cycle.
  • CO mass can be added to pressure vessel (I) from bulk storage, to reestablish higher pressure in vessel (I) than chamber (III). This mass flow cycle is repeated multiple times (between 1 and 500) in a given cleaning cycle resulting in cyclical phase modulation (CPM).
  • Dense CO 2 circulation in cleaning chamber (III) can optionally be augmented using pump (VII) and valves (e) and (f) during CPM.
  • CPM can be alternatively achieved using variable volume chamber (IV) (80) with valve (c) (81) opened.
  • the volume of (IV) is increased and reduced cyclically, between 1 and 500 times in a given cleaning cycle.
  • fluid can optionally be circulated through the cleaning chamber (III) using pump (VII) and valves (e) and (f).
  • dense phase CO 2 mixture is flushed from the system through valve (d) into vessel (V) with addition of pure dense phase CO 2 from tank (I) through valve (a). This rinse process continues until all adjunct and waste are removed from the chamber.
  • the dense CO 2 is vented from cleaning chamber (III) to a waste or abatement system.
  • Water soluble compounds to deliver include, but are not limited to: Acids (including but not limited to HF, HF/ ⁇ FLF (also known as "BOE”- buffered oxide etch or "BHF”- buffered HF), H 2 SO 4 , HC1, HBr, H 3 PO 4) H ⁇ O 3, CH 3 CO 2 H, H 2 S 2 O 8 , KCN, KI, etc.); Reactants (including but not limited to H 2 O 2, NE F and NH F 2 , SiCl 4 , SiHCl 3j Si(C 2 H 5 O) 4 , Br, I, EDTA, Surfactants, (NH ⁇ SO ⁇ O 3 , H 2 , SO 3) N 2 O, NO, NO 2 , F 2 , Cl 2 , Br 2 etc.); Alkalis or bases (including
  • FIG. 5 represents a basic process diagram for a general description of this embodiment of the invention.
  • fluid in the chamber may be in the supercritical state or the liquid state.
  • liquid CO 2 compositions can be at the saturation point, termed saturated liquid CO 2 (liquid and gas co-existing in some proportion), or it can be compressed (no liquid meniscus). For the purposes of this invention each scenario will be described separately.
  • Cleaning steps using CO may use a variety of chemical adjuncts including co- solvents, surfactants, reactants, water, and combinations of some or all to enable or facilitate the quantitative removal of contaminants.
  • These materials may be suspended, dissolved, dispersed, or emulsified in the carbon dioxide continuous phase.
  • the stability of suspensions, dispersions, emulsions, and even solutions of materials in supercritical CO 2 as well as liquid is largely a function of CO 2 fluid density. Generally speaking, as the density of the CO continuous phase decreases the stability of suspensions, dispersions, or emulsions also decrease.
  • Chamber I represents the cleaning chamber.
  • Pressure vessel II represents a storage tank for processing fluid after cleaning. It can be integrated with abatement or recycling systems as desired.
  • Subsystem III represents a source of clean (i.e., sufficiently clean to achieve the desired level of cleanness/lack of redeposition of contaminants on the substrate) gaseous or supercritical components that can be a secondary gas with a saturated vapor pressure that exceeds that of carbon dioxide, or can be heated carbon dioxide in the supercritical phase or gas phase.
  • Exemplary secondary gases include: helium, nitrogen, argon, and oxygen, or mixtures thereof.
  • contaminated supercritical fluid is removed from the processing chamber by providing a secondary source of gas from system III 52 through valve (b) 53 at a pressure that exceeds the pressure in the processing chamber (I). Rapid mixing of the secondary gas with the supercritical fluid will transition the continuous phase to a liquid composition.
  • valve (c) 54 is opened between chamber (I) and vessel (II) to allow for removal of the CO 2 plus contaminants in a plug flow or draining fashion.
  • Valve (b) remains open providing chamber (I) a continuous flow of secondary gas until all fluid mater is forced from ' chamber (I) at which point valves (b) and (c) are closed. Throughout this operation, the pressure in vessel (II) is maintained at a lower pressure than chamber (I).
  • system (III) can supply the chamber (I) with a heated source of supercritical CO 2 at a pressure and temperature that exceeds that of the processing fluid in chamber (I).
  • the supercritical CO added from system (III) has a lower density than that in chamber (I).
  • the mixing of CO 2 fluids of varied density is accompanied by rapid flow of mass out of chamber (I) into vessel (II).
  • the flushing action removes CO 2 plus contaminants from the cleaning chamber.
  • the process can be used as a final drain followed by a vent or in conjunction with a series of fill and drain sequences preceding a final vent.
  • Liquid CO 2 compositions can be removed from cleaning chambers without the deposition of adjuncts or redeposition of contaminants using the following sequence that incorporate a secondary gas such as helium or nitrogen, or gaseous or supercritical CO .
  • a secondary gas such as helium or nitrogen, or gaseous or supercritical CO .
  • liquid compositions are removed from chamber (I) by first opening valve (b) to system (III) where system (III) contains a secondary gas at a pressure greater than that in chamber (I).
  • valve (c) 54 is opened between chamber (I) and vessel (II) allow for forced plug flow of the liquid composition from chamber (I). After complete removal of liquid from (I), valves (c) 54 and (b) 53 are closed.
  • This process can be used as a final removal drain step prior to venting or in a sequence of fill and drain steps.
  • heated gaseous CO 2 or supercritical CO 2 is supplied from system (III) using the same process steps.
  • gaseous CO 2 the pressure and temperature of the gas must exceed that of the processing fluid in chamber (I) to be removed.
  • supercritical CO 2 being supplied by system (III)
  • the fluid is supplied at a temperature and pressure that exceeds that of the fluid in chamber (I) so long as the density of the fluid is less than that of the liquid in chamber (I).
  • the fluid composition can be drained in the following steps to avoid deposition of materials onto the substrate surface.
  • liquid CO 2 at saturated vapor pressure is maintained in vessel (II) prior to the draining or flushing step.
  • the liquid composition is drained from (I) by first opening valve (e) 55 connecting the vapor-phase side of (I) with the vapor-phase side of (II), then opening valve (c) 54 connecting the liquid side of (I) with the vapor side of (II).
  • This allows the flow of liquid out of (I) without boiling liquid in chamber (I). The boiling of liquid is prevented to avoid the deposition of entrained materials onto surfaces.
  • Vapor side communication i.e., a vapor communication passage to permit vapor flow
  • this process can be used as a final removal or drain step prior to chamber venting or in a series of fill and drain steps preceding a final vent.
  • the present invention is explained in greater detail in the following non- limiting Examples
  • COMPARATIVE EXAMPLE A Treatment of a Coated Wafer with Liquid Carbon Dioxide A CO 2 -miscible, hydrophilic solvent, such as isopropanol (IP A), was added to a high-pressure vessel that contained a piece of a poly(hydroxystyrene) (PHS) coated silicon wafer. Liquid CO 2 was added to the high-pressure vessel. As the liquid CO 2 /IPA (2 % IPA by volume) mixture meniscus level rose above the surface of the wafer, damage to the wafer was observed. After the system was mixed for 15 minutes, the liquid CO 2 /IPA mixture was drained from the bottom of the high- pressure vessel. More damage to the wafer was observed as the PA boiled at the liquid/gas/wafer interface.
  • CO 2 -miscible, hydrophilic solvent such as isopropanol (IP A)
  • Liquid CO 2 was added to a high-pressure vessel that contained a piece of a PHS coated silicon wafer until the wafer was completely submerged in liquid CO 2 .
  • CO 2 /IPA mixture was drained under the pressure of the secondary gas to prevent boiling at the liquid/gas/wafer interface. There was no damage to the wafer after the system was drained with a secondary gas. The system was rinsed with pure liquid CO 2 and was then drained as mentioned above. There was no damage to the wafer.
  • Liquid CO 2 at its saturated vapor pressure was added to a high-pressure vessel that contained a piece of a PHS coated silicon wafer until the wafer was completely submerged in liquid CO 2 .
  • a mixture that contained liquid CO 2 and IPA, 2 % IPA by volume, (alternatively any CO 2 -miscible, hydrophilic solvent, or hydrophilic/CO 2 - philic surfactant) was added to the high-pressure vessel that contained the piece of PHS coated silicon wafer submerged in liquid CO 2 . No damage to the wafer was observed.
  • the liquid CO 2 mixture was drained from the high-pressure vessel to another high-pressure vessel containing predominantly liquid CO 2 at saturated vapor pressure by first opening a valve connecting the vapor side of both vessels then by . opening a valve connecting the liquid side of both vessels. The liquid was drained by force of gravity as the first vessel was positioned substantially above the second to
  • Liquid CO 2 was added to a high-pressure vessel that contained a piece of a PHS coated silicon wafer until the wafer was completely submerged in liquid CO 2 .
  • the liquid CO 2 /IPA mixture was drained under the pressure of the secondary gas to prevent boiling at the liquid/gas/wafer interface. There was no damage to the wafer after the system was drained with a secondary gas. The system was rinsed with pure liquid CO 2 and was then drained as mentioned above. There was no damage to the wafer.
  • a droplet of water was dripped on top of a piece of a PHS coated silicon wafer.
  • the wafer that contained the water droplet was placed in the high-pressure view cell.
  • Pure liquid CO 2 was added to the high-pressure vessel.
  • the system was mixed for 15 minutes. The liquid CO 2 did not solvate the entire droplet of water as determined visually through a sapphire window on the view cell.
  • a droplet of water was dripped on top of a piece of a PHS coated silicon wafer.
  • the wafer that contained the water droplet was placed in the high-pressure view cell.
  • Liquid CO 2 was added to a high-pressure vessel that contained a piece of a PHS coated silicon wafer until the wafer was completely submerged in liquid CO 2 .
  • a mixture that contained liquid CO 2 and IPA, 2 % IPA by volume, (alternatively any CO 2 -miscible, hydrophilic solvent) was added to the high-pressure vessel that contained the piece of PHS coated silicon wafer submerged in liquid CO 2 . No damage to the wafer was observed.
  • the system was mixed for 15 minutes.
  • the water droplet was completely solvated. There was still no damage to the wafer.
  • a secondary gas (helium or nitrogen) was added to the top of the high-pressure vessel.
  • the liquid CO 2 /IPA mixture was drained under the pressure of the secondary gas to prevent boiling at the liquid/gas/wafer interface. There was no damage to the wafer after the system was drained with a secondary gas.
  • the system was rinsed with pure liquid CO 2 and was then drained as mentioned above. There was no damage to the wafer.
  • Liquid and Supercritical Carbon Dioxide and Cosolvent A whole 5" PHS coated wafer wetted with water, as it would be in an aqueous post-development process, was placed in the prototype drying chamber.
  • the chamber was filled with liquid carbon dioxide.
  • the prototype system contained a second high pressure vessel , containing liquid CO 2 plus 2 % IPA by volume, (alternatively any CO 2 -miscible, hydrophilic solvent or surfactant that increased the carry capacity of CO 2 for water)
  • the mixed liquid CO 2 /IPA was added to the drying chamber from the second high-pressure vessel using a pump. The system was mixed for 15 minutes.
  • the liquid CO 2 /IPA mixture was flushed with 5 liquid turnovers of pure liquid CO 2 so that the concentration of IPA dropped to a fraction of its previous concentration. There was no meniscus formation during the CO 2 flush.
  • the liquid CO 2 was heated to 35°C fransitioning the fluid to a supercritical phase.
  • the supercritical CO 2 was then drained/vented from the vessel as heat was added to maintain the fluid, and subsequently the gas, above the critical temperature of CO 2 . When the chamber was completely vented the wafer was removed dry and undamaged.
  • a 5 -inch silicon wafer coated with a PHS photoresist and a PAG was imaged, developed using 0.238 normal teframethyl ammonium hydroxide, and rinsed with deionized water.
  • the wet wafer was then transferred to a high-pressure drying chamber, where liquid CO 2 at saturated vapor pressure was added in a small amount. Additional liquid CO 2 at saturated vapor pressure premixed with a hydrophilic/CO 2 - philic surfactant was added to and circulated through the chamber to displace and remove the water from the surface of the wafer and features of the resist pattern.
  • the liquid was drained to a secondary storage vessel containing a small amount of liquid CO 2 first by allowing a vapor-side communication between the two vessels then by opening a valve connecting the bottom of the drying vessel with the bottom of the second storage vessel.
  • the second storage vessel was position sufficiently below the drying chamber that the majority of the liquid drained from the drying chamber.
  • the drying chamber was then filled with pure liquid CO 2 as a rinse followed by draining as described above. This was repeated to insure that the concentration of the adjunct was effectively zero.
  • the small amount of remaining liquid CO 2 in the drying chamber was heated to above its critical point, 35oC, and the CO 2 was vented while maintaining the fluid/gas temperature above the critical temperature thus avoiding the formation of a liquid meniscus.
  • the imaged, developed, and dried wafer was then. removed from the chamber, stored in the absence of light and moisture, and then analyzed using a scanning electron microscope.
  • the micrograph showed that the developed features, demonstrating line/ space patterns of less than 120 -nm, were consistent structurally unaffected by the CO 2 drying process.
  • EXAMPLE 8 MEM's Water and Contaminant Removal During the manufacturing of a MEM's device containing a series of electrostatic actuators, a sacrificial oxide layer is removed using aqueous hydrofluoric acid, exposing a series of pivoting plates parallel to the substrate surface. After a sequential rinse step, the device is transferred to a high-pressure CO 2 -based drying chamber, where a liquid CO 2 mixture is added at saturated vapor pressure. The liquid CO 2 contains a C ⁇ 2 -philic/hydrophilic surfactant that is premixed with the CO 2 to ensure a homogeneous composition.
  • Polishing slurry, polishing residues and particulates are removed post-CMP using the following process steps.
  • the substrate a semiconductor wafer with a metal or dielectric surface
  • An aqueous solution of hydrogen peroxide (30% concentration in water) in a liquid CO 2 emulsion containing a high purity CO 2 -philic-b-hydrophilic surfactant is introduced at 1,200 psi and room temperature.
  • Cyclical phase modulation is used to condense the emulsion onto the surface of the wafer followed by re-emulsification. This is accomplished by increasing the effective volume of the cleaning chamber causing a reduction in pressure from 1200 psi at room temperature to 790 psi at about 15 C.
  • the volume is increased using an automated variable volume cylinder and appropriate valves.
  • the aqueous cleaning solution is condensed onto the surface of the wafer for a short period of time as the density of the liquid CO is reduced.
  • the pressure is then increased by a reduction of vessel volume restoring the pressure in the cleaning chamber to 1200 psi.
  • the cycle is repeated 20 times.
  • the first solution is then displaced from the vessel by a second cleaning solution consisting of an aqueous fluoride in CO 2 emulsion with a high purity CO 2 -philic-b-hydrophilic surfactant.
  • the pressure is then modulated cyclically as above, 20 times.
  • Supercritical CO 2 at 1800 psi and 40 C, with a high purity surfactant is then flowed through the vessel to facilitate the removal of any remaining particulates.
  • a supercritical CO 2 rinse is then completed by addition of pure CO 2 to the vessel. The system is vented a final time and the substrate is removed.
  • Polishing slurry, polishing residues and particulates are removed post-CMP using the following process steps.
  • the substrate a semiconductor wafer with a metal or dielectric surface
  • An aqueous solution of hydrogen peroxide in a liquid CO 2 emulsion containing a high purity CO 2 -philic-b- hydrophilic surfactant is introduced at 1 ,200 psi and room temperature.
  • the aqueous cleaning solution is condensed onto the surface of the wafer for a short period of time using a variable volume chamber connecting to the cleaning vessel.
  • the pressure is then increased by a reduction of vessel volume to restore the pressure to the original ' value.
  • the cycle is repeated 20 times.
  • the first solution is displaced from the vessel by a second cleaning solution consisting of an aqueous fluoride in CO 2 emulsion with a high purity CO 2 -philic-b-hydrophilic surfactant.
  • the pressure is then modulated as above 20 times using a variable volume chamber.
  • Supercritical CO 2 containing a small amount CO 2 -soluble chelating agent ethylenediaminetetraacetic acid
  • Supercritical CO 2 with a high purity surfactant is then flowed through the vessel to facilitate the removal of any remaining particulate mater.
  • a supercritical CO 2 rinse is then completed by addition of pure CO 2 to the vessel.
  • the system is vented a final time and the substrate removed.
  • Photoresist is used to pattern substrates for ion implantation.
  • the photoresist used for this process is removed in the following steps.
  • the substrate, a semiconductor post ion implantation, is loaded into a pressure vessel.
  • Supercritical CO is added to the vessel at 3,000 psi and 35 °C.
  • a co-solvent mixture consisting of triethanolamine, N-methyl-2- pyrrolidone, a surfactant containing both CO 2 -philic and hydrophilic components, and water are added.
  • the mixture composition by weight is 7:2:1 :1, and the total concentration of adjunct added is 2.5% w/v of the fluid system.
  • the pressure of the vessel is reduced using a variable volume chamber and appropriate valves causing an expansion of the processing fluid in the cleaning chamber and thereby condensing a concentrated mixture of the adjunct mixture onto the surface of the substrate.
  • the temperature of the mixture drops below the T c in the course of the expansion causing a transition to liquid CO2.
  • the system is re-pressurized and the fluid mixture heated above T c again using the variable volume chamber and internal heaters. This cycle is repeated 20 times and followed by a pure supercritical CO 2 rinse.
  • the system is vented and the substrate removed.
  • Polymeric photoresist and resist residue is removed from via structures of a test wafer after reactive ion etching using the following process steps.
  • An amine (triethylamine) in supercritical CO 2 plus a high purity surfactant with both a CO 2 - philic and an oleophilic segment is added to the vessel at 3,000 psi at 60 °C (2% w/v amine, 1 % w/v surfactant).
  • the fluid mixture is circulated through the vessel.
  • the pressure of the fluid mixture is rapidly reduced to 1,500 psi thereby condensing the adjunct onto the surface of the substrate.
  • the pressure is then rapidly increased back to 3,000 psi re-dispersing .all chemical adjuncts.
  • the cycle is repeated 20 times using a variable volume chamber. Heat is added to the chamber using an internal heater to maintain the temperature as near to 60 °C as possible. Helium gas at 3500 psi was then added to the cleaning chamber as a valve at the bottom of the chamber was opened to a waste vessel. The processing fluid was rapidly flushed from the chamber and replaced by a pressurized atmosphere of pure helium. After the helium was vented off the cleaning vessel was rinsed with ⁇ pure supercritical CO 2 A second cleaning solution consisting of a co-solvent (2,4-pentanedione, 3% w/v total) and a high purity surfactant (1% w/v) was added to the cleaning vessel with CO 2 at 3000 psi and 60 C.
  • the pressure of the system is modulated as described above 20 times while the temperature of the fluid is maintained as close to 60 °C as possible using an internal heater.
  • the cleaning fluid was drained as above using helium as a secondary gas.
  • a pure supercritical CO rinse is completed, the system was drained using helium as a secondary gas and then vented, and the substrate removed.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
EP02724947A 2001-02-15 2002-02-14 Verfahren zur reinigung von mikroelektronischen strukturen Withdrawn EP1368136A4 (de)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US951259 1992-09-25
US26902601P 2001-02-15 2001-02-15
US269026P 2001-02-15
US932063 2001-08-17
US09/932,063 US6562146B1 (en) 2001-02-15 2001-08-17 Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US09/951,247 US6602351B2 (en) 2001-02-15 2001-09-13 Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US09/951,259 US6596093B2 (en) 2001-02-15 2001-09-13 Methods for cleaning microelectronic structures with cyclical phase modulation
US09/951,092 US6613157B2 (en) 2001-02-15 2001-09-13 Methods for removing particles from microelectronic structures
US951249 2001-09-13
US09/951,249 US6641678B2 (en) 2001-02-15 2001-09-13 Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US951092 2001-09-13
US951247 2001-09-13
PCT/US2002/004398 WO2002066176A1 (en) 2001-02-15 2002-02-14 Methods for cleaning microelectronic structures

Publications (2)

Publication Number Publication Date
EP1368136A1 true EP1368136A1 (de) 2003-12-10
EP1368136A4 EP1368136A4 (de) 2005-10-12

Family

ID=27559475

Family Applications (1)

Application Number Title Priority Date Filing Date
EP02724947A Withdrawn EP1368136A4 (de) 2001-02-15 2002-02-14 Verfahren zur reinigung von mikroelektronischen strukturen

Country Status (5)

Country Link
EP (1) EP1368136A4 (de)
JP (1) JP2004527110A (de)
KR (1) KR20030075185A (de)
CN (1) CN1628000A (de)
WO (1) WO2002066176A1 (de)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US7267727B2 (en) 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US6989172B2 (en) 2003-01-27 2006-01-24 Micell Technologies, Inc. Method of coating microelectronic substrates
US20050029492A1 (en) 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
KR100597656B1 (ko) * 2003-10-02 2006-07-07 그린텍이십일 주식회사 반도체의 제조를 위한 세정방법 및 세정장치
US7141496B2 (en) 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
JP2007536730A (ja) * 2004-05-07 2007-12-13 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積回路製品を製造する間にパターン形成されたウエハーを乾燥させるための組成物及び方法
US7195676B2 (en) 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
KR100708773B1 (ko) 2006-01-21 2007-04-17 서강대학교산학협력단 세정공정
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
CN102371254B (zh) * 2010-08-11 2013-08-14 中国科学院微电子研究所 清洗系统
JP6326041B2 (ja) * 2012-04-17 2018-05-16 プラクスエア・テクノロジー・インコーポレイテッド 二酸化炭素の精製された多相のプロセスツールへのデリバリーシステム
CN103962345B (zh) * 2013-01-29 2017-02-08 无锡华润上华科技有限公司 晶圆的碎屑的清除方法
US20180323063A1 (en) * 2017-05-03 2018-11-08 Applied Materials, Inc. Method and apparatus for using supercritical fluids in semiconductor applications
KR20190138743A (ko) * 2018-06-06 2019-12-16 도오꾜오까고오교 가부시끼가이샤 기판의 처리 방법 및 린스액
KR102378329B1 (ko) * 2019-10-07 2022-03-25 세메스 주식회사 기판 처리 장치 및 방법
US11239071B1 (en) * 2020-12-03 2022-02-01 Nanya Technology Corporation Method of processing semiconductor device
CN113436998B (zh) * 2021-07-02 2022-02-18 江苏鑫华半导体材料科技有限公司 一种超临界二氧化碳硅块清洗装置、硅块处理系统及方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
No further relevant documents disclosed *
See also references of WO02066176A1 *

Also Published As

Publication number Publication date
EP1368136A4 (de) 2005-10-12
JP2004527110A (ja) 2004-09-02
WO2002066176A1 (en) 2002-08-29
CN1628000A (zh) 2005-06-15
KR20030075185A (ko) 2003-09-22

Similar Documents

Publication Publication Date Title
US6596093B2 (en) Methods for cleaning microelectronic structures with cyclical phase modulation
US6905555B2 (en) Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6641678B2 (en) Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6613157B2 (en) Methods for removing particles from microelectronic structures
US6602351B2 (en) Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6562146B1 (en) Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
EP1368136A1 (de) Verfahren zur reinigung von mikroelektronischen strukturen
US7044143B2 (en) Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
KR101269783B1 (ko) 반도체 웨이퍼로부터의 물질 제거 방법 및 이를 수행하기위한 장치
KR100853354B1 (ko) 초임계수산화법에 의한 오염된 물품의 세척 방법
EP0681317B1 (de) Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
Jones et al. Applications of “dry” processing in the microelectronics industry using carbon dioxide
US20050191861A1 (en) Using supercritical fluids and/or dense fluids in semiconductor applications
US20030216269A1 (en) Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
KR20040026639A (ko) 농축 프로세싱 유체와 초음파 에너지를 사용한 반도체구성 요소의 처리 방법
KR20050061381A (ko) 농축 프로세싱 유체와 초음파 에너지를 사용한 반도체구성 요소의 처리 방법
WO2004105093A2 (en) Tetra-organic ammonium fluoride and hf in supercritical fluid for photoresist and residue removal
US20080004194A1 (en) Processing of semiconductor components with dense processing fluids
Keagy et al. Cleaning of patterned porous low-k dielectrics with water, carbon dioxide and ambidextrous surfactants
KR20070043899A (ko) 미세구조체의 세정방법
Zhang et al. Supercritical CO2-based solvents in next generation microelectronics processing
US6786977B2 (en) Gas-expanded liquids, methods of use thereof, and systems using gas-expanded liquids for cleaning integrated circuits
KR20040105234A (ko) 고확산성 투과물들을 이용한 성능 필름들의 향상된 가공방법

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20030916

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

A4 Supplementary search report drawn up and despatched

Effective date: 20050831

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20051118