EP1074043A1 - Process for ashing organic materials from substrates - Google Patents

Process for ashing organic materials from substrates

Info

Publication number
EP1074043A1
EP1074043A1 EP99904261A EP99904261A EP1074043A1 EP 1074043 A1 EP1074043 A1 EP 1074043A1 EP 99904261 A EP99904261 A EP 99904261A EP 99904261 A EP99904261 A EP 99904261A EP 1074043 A1 EP1074043 A1 EP 1074043A1
Authority
EP
European Patent Office
Prior art keywords
plasma
ashing
sulfur trioxide
photoresists
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
EP99904261A
Other languages
German (de)
French (fr)
Other versions
EP1074043A4 (en
Inventor
Eric O. Levenson
Ahmad Waleh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Anon Inc
Original Assignee
Anon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anon Inc filed Critical Anon Inc
Publication of EP1074043A1 publication Critical patent/EP1074043A1/en
Publication of EP1074043A4 publication Critical patent/EP1074043A4/en
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

Ashing of an organic film from a substrate is carried out by providing a plasma comprising a gas or gas mixture selected from the following groups: (a) sulfur trioxide alone; (2) sulfur trioxide plus one supplemental gas; and (3) sulfur trioxide plus at least two supplemental gases. Any of the following gases may be employed as the supplemental gas: water vapor, ozone, hydrogen, nitrogen, nitrogen oxides, or a halogenide such as tetrafluoromethane, chlorine, nitrogen trifluoride, hexafluoroethane, or methyltrifluoride.

Description

PROCESS FOR ASHING ORGANIC MATERIALS FROM SUBSTRATES
BACKGROUND OF THE INVENTION
1. Field of the Invention.
The present invention relates generally to the removal of organic materials on various substrates, and, more particularly, to an ashing method for removing organic films and materials temporarily formed on various substrate layers during fabrication of semiconductor, flat panel display, read/write heads, and other related devices.
2. Description of the Related Art.
Removal of the photoresist film is an important part of the process of fabricating semiconductor devices. The use of ashing methods, in particular, using a gas with high oxygen content, for removing organic films, such as resists and polyimides has been known for some time. The advances in plasma tools and the related processing techniques, over the last decade, have managed to keep up with the challenges of successive generations of Very Large Scale Integration (VLSI) and Ultra Large Scale Integration (ULSI) devices. However, as the size of the features and the thickness of films in these devices continue to decrease, the manufacturing challenges are also renewed with every generation of Integrated Circuits (ICs). As the dramatic shrinking of IC geometries continues, the ashing methods are continuously faced with two problems: (a) achieving higher rates of residual-free resist removal and (b) lowering the amount of damage caused in the substrate layers underlying the resist film. These generally conflicting objectives are addressed by changing either the physical conditions of the plasma medium or the chemical conditions of the ashing process. For example, one can achieve higher rates of processing by either generating a dense plasma environment or by using or generating, in the plasma environment, chemical species that react more efficiently with the resist.
Substrate damage can likewise be attributed to both physical and chemical conditions of the plasma. For example, charging and ion bombardment effects are directly related to the physical properties of the plasma. Energetic ions can drive small quantities of heavy metal (i.e.. Fe, Cu and Pb) and alkaline metal (i.e.. Na and K) atoms, which are generally present as impuπties in the resist films, into the substrate layer underneath the resist. The heavy metal contamination and in particular the subsequent permeation and migration of heavy metals into other substrates (e.g. silicon) layers can affect the minority carrier lifetime to the detriment of the device properties. Such bombardment effects become more severe as the resist films become thinner towards the end of the ashing process, particularly as the thickness of sensitive substrates are designed to be thinner.
Substrate damage also results from the chemical properties of plasma, such as etching or other poisonous effects on the layer underneath the resist. For example, etching of silicon oxide (SiO2) occurs because of fluorine (F), when halogenated gas mixtures such as oxygen (O2) and tetrafluoromethane (CF4) are used to increase the rate of plasma ashing. Similarly, energetic oxygen ions can contribute to the formation water inside the surface layers of spin-on-glass (SOG) films, resulting in an increase in the dielectric constant or in the related via-poisoning phenomenon. These considerations apply, to various degrees depending on the application, to all conventional dry-etch plasma etchers, e.g., barrel, down-stream or parallel-electrode configurations, with the down-stream ashing being the most widely used method. To increase the processing rates and minimize the problem of ion damage, techniques for higher plasma densities and lower ion energies may be employed. The new generations of advanced plasma sources achieve these objectives by decoupling the control of the plasma density from the control of ion energy in the plasma by such techniques as Electronic Cyclotron Resonance (ECR) or Inductively Coupled Plasma (ICP) in microwave or radio frequency power regimes. The art of these and other types of plasma technologies and plasma tools are well known and have been the subject of many US patents. Independent of the nature and the regime of the plasma employed, the rate and completeness of ashing as well as any unwanted etching or damage to the substrate layer, in the conventional ashing tools, are strongly influenced by the chemical reactions between the resist and the substrate layer and the reactive ionic, neutral and radical species generated in the plasma. In a typical down-stream or other conventional asher, the nature of the plasma gas mixture is the pπ- mary determinant of the ashing rate which is also sensitive to the "ashing temperature". The nature of the gas mixture also influences the activation energy of ashing which is a measure of the sensitivity of the ashing rate to the ashing temperature. 3
The activation energy is obtained from the gradient of the Aπt-enius plot which is a line plot of the ashing rate as a function of the inverse .ashing temperatures. Therefore, a small activation energy (small slope of the Arrhenius plot) indicates that ashing rate is less sensitive to ashing temperature, and that the ashing process will be more stable and uniform. Lower activation ener- gies also imply that the ashing temperature can be lowered without significant loss of ashing rate. This is particularly useful where VLSI or ULSI fabrication requires lower processing temperatures and yet where acceptable practical levels of ashing rates (i.e., > 0.5 μm/min) must be maintained.
A thorough discussion of ashing rates and activation energies for a series of gas mixtures consisting of one or more of the following oxygen, hydrogen, nitrogen, water vapor and halo- genide gases is given in the US Patent 4,961,820. It is shown that addition of nitrogen to oxygen plasma does not change the activation energy (0.52 eV for oxygen) and improves the rate of ashing only slightly (from 0.1 to 0.2 μm/min at 160°C). However, addition of 5 to 10% hydrogen or water vapor to oxygen reduces the activation energy to about 0.4 eV with a similar improve- ment in the ashing rate as in the case of nitrogen addition. Addition of both nitrogen and 5 to 10% of either hydrogen or water vapor to oxygen plasma has a synergistic effect of increasing the ashing rate to a more practical level of 0.5 μm/min (at 160°C).
The most dramatic improvements in the activation energy (down to 0.1 eV) and the ashing rate (>1.5 μm/min) are obtained when a halogenide (e.g., tetrafluoro-methane) is added to the oxygen plasma. However, in this case, CF4 also results in etching of such substrate layers as silicon oxide, polysilicon and aluminum due to fluorine reaction. It is reported that inclusion of water vapor in the reactant gas mixture will reduce the damage by CF4 apparently as a result of the reaction of water with CF4, thus suppressing the halogen action.
As seen from the above discussion, the search for a satisfactory reactant gas mixture, with reasonably high ashing rate and without any deleterious effect on the substrate layer underneath the resist film, continues. Furthermore, as the constraints of the VLSI and ULSI fabrication become more stringent, lower ashing temperatures and ashing-process stability (lower activation energy) increasingly become major requirements of a satisfactory reactant gas mixture.
The present inventors have successfully used anhydrous sulfur trioxide (SO3) in non- plasma resist removal applications at temperatures substantially lower than 200°C. Experiments have shown that exposure of resist-covered substrate surfaces to SO3 leaves polysilicon and metal substrates surfaces intact without any deleterious effect. Exposed silicon and metal surfaces are also protected because of passivation action of sulfur trioxide. Therefore, sulfur trioxide 4 appears as a suitable candidate, either alone or in a reactant gas mixture, for plasma ashing applications. Particularly in the presence of oxygen plasma, it is expected that SO3 will enhance the oxygen radical formation, thus significantly improving the rate of the ashing reaction.
SUMMARY OF THE INVENTION
It is an object of the present invention to provide an improved process for ashing organic materials, including photoresist residues, from substrates by including sulfur trioxide gas as a part of the reactive gas mix. This can be accomplished by employing one of three groups of gas mixes in the ashing process. These mixes include (1) Group 1 gas, which comprises only sulfur trioxide g.as; (2) Group 2 gases, which comprise a mixture of sulfur trioxide and a supplemental gas such as water vapor, ozone, hydrogen, nitrogen, nitrogen oxides, or a halogenide such as tetrafluoro-methane (CF4), chlorine (Cl2), nitrogen trifluoride (NF3), hexafluoroethane (C2F6), or methyltrifluoride (CHF3); and (3) Group 3 gases, which comprise a mixture of sulfur trioxide and at least two of the foregoing supplemental gases.
As is well-known in the art, when certain of these supplemental gases are added to the main reactive ashing gas in the appropriate quantities and at the appropriate time in the process, they promote favorable ashing process characteristics and organic film removal performance. Such favorable characteristics and performance includes (a) higher ashing rates, (b) lower acti- vation energies, and (c) absence of ground layer etching during the organic removal process.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
Stripping and plasma ashing of organic photoresists, using one of the three groups of gases described above, are carried out with a conventional down-flow, barrel, downstream, direct, or other type of plasma ashing tool which is known in the prior art. The present invention pertains to the nature of the gases used in the ashing process and has application in all conventional ashing tools. The down-flow, barrel, direct, and downstream and other types of plasma ashing tools are well-known in this .art and form no part of this invention. The basic concept behind this invention is that sulfur trioxide gas. under the appropriate volumes and processing conditions, and with the optional addition of certain supplemental gases required to either reduce the activation energy, increase the speed of the ashing process, lower the operating temperature of the ashing process, or otherwise improve the effectiveness or efficiency 5 of the ashing process, is used in gaseous form as a reactant gas mixture to plasma ash. or otherwise react with, .all types of organic coatings, films, layers and residues, including process- hardened photoresists, so as to cause them to be substantially removed, cleaned, or stripped from the surface of the substrate. In all embodiments of the present invention, the sulfur tπoxide is provided in a source container from which sulfur trioxide gas is supplied to the processing chamber in the quantities and at the appropriate time in the ashing process. Within the source container, sulfur trioxide may be a mix of solid, liquid or gas, with the solid material in alpha form, beta form, gamma form or a mixture thereof.
Specifically, the following organic materials, in the form of coatings, films, layers, and residues, may be removed by the process of the present invention: polymerized and non- polymerized photoresists, photoresist residues, photosensitive and non-photosensitive organic compounds, paints, resins, multilayer organic polymers, organo-metallic complexes, sidewall polymers, and organic spin-on-glass. The photoresists may comprise positive optical photoresists, negative optical photoresists, electron beam photoresists, X-ray photoresists, and ion-beam photoresists.
Such coatings, films, layers, and residues may have been formed on a variety of substrates, including, but not limited to, (a) semiconductor wafers and devices comprised of silicon, polysilicon, germanium, ITI-V materials, and II- VI materials, (b) oxides, (c) nitrides, (d) oxyni- trides, (e) inorganic dielectrics, (f) metals and metal alloys, (g) ceramic devices, (h) photomasks, (i) liquid crystal .and flat panel displays, (j) printed circuit boards, (k) magnetic read/write heads, and (1) thin film heads.
The ashing process of the invention may be carried out at a temperature within the range of room temperature (about 20°C) up to 350°C. However, the ashing process is preferably carried out at as low a temperature as possible, consistent with maintaining as high an etching rate as possible. More preferably, then, the ashing process is carried out at a temperature less than about 200°C.
1. The First Embodiment.
One embodiment is a plasma ashing process conducted in any of the conventional down- flow. b-arrel. direct, and downstream and other types of ashing tools known in the prior art. In this first embodiment, the Group 1 gases are employed for the purpose of creating a plasma. In particular, the reactant gases comprise only sulfur trioxide. Sulfur trioxide is supplied to the plasma generating chamber, which is initially evacuated and exhausted to an appropriate vacuum. The 6 flow rate of the SO3 gas is controlled by a controller during the process. Microwave power is supplied into the plasma generating chamber where a plasma is created with the reactant gases. Active species which are generated as a plasma, flow down to a process chamber and come into contact with the organic film on the surface of the substrate by one of the methods disclosed in the prior art. As a result of the interaction of the organic film and the plasma, the organic film is either removed or chemically changed so as to render the film removable with subsequent rinsing or cleaning steps in the process. The process limitations, such as flow rate, microwave power, and the like are the same as those conventionally employed in the prior art. such as disclosed in U.S. Patents 4.669,689 and 4,961,820.
7
2. The Second Embodiment.
Another embodiment of the present invention is a plasma ashing process conducted in any of the conventional down-flow, barrel, direct, and downstream and other types of ashing tools. In this second embodiment, the Group 2 gases are employed for the purpose of creating a plasma. In particular, the reactant gases comprise sulfur trioxide and one supplemental gas. Sulfur trioxide and the supplemental gas are supplied to the plasma generating chamber, which is initially evacuated and exhausted to an appropriate vacuum. The sulfur trioxide concentration in the Group 2 reactant gas is within the range of about 1 to 95 vol%. The supplemental gas comprises the balance (99 to 5 vol%). The flow rate of each gas is controlled by a controller during the process. Microwave power is supplied into the plasma generating chamber where a plasma is created with the reactant gases. Active species which are generated as a plasma flow down to a process chamber and come into contact with the organic film on the surface of the substrate by one of the methods disclosed in the prior art. As a result of the interaction of the organic film and the plasma, the organic film is either removed or chemically changed so as to render the film removable with subsequent rinsing or cleaning steps in the process. As above, the process limitations, such as flow rate, microwave power, and the like are the same as those conventionally employed in the prior art.
The supplemental gas may comprise any of the gases selected from the group consisting of water vapor, ozone, hydrogen, nitrogen, nitrogen oxides, or a halogenide such as tetrafluo- romethane (CF ), chlorine (Cl2), nitrogen trifluoride (NF3), hexafluoroethane (C2F6), or methyl- trifluoride (CHF3). Examples of nitrogen oxides include nitrous oxide (N2O), nitric oxide (NO), nitrogen trioxide (NO ), and nitrogen dioxide (NO2).
3. The Third Embodiment. Yet another embodiment of the present invention is a plasma ashing process conducted in any of the conventional down-flow, barrel, direct, and downstream and other types of ashing tools. In this third embodiments, the Group 3 gases are employed for the purpose of creating a plasma. In particular, the reactant gases comprise sulfur trioxide and at least two supplemental gases. Sulfur trioxide and the supplemental gases are supplied to the plasma generating chamber, which is initially evacuated and exhausted to an appropriate vacuum. The sulfur trioxide concentration in the Group 3 reactant gas is within the range of about 1 to 95 vol%. The supplemental gas comprises the balance (99 to 5 vol%). 8
The flow rate of the gas is controlled by a controller during the process. Microwave power is supplied into the plasma generating chamber where a plasma is created with the reactant gases. Active species which are generated as a plasma, flow down to a process chamber and come into contact with the organic film on the surface of the substrate by one of the methods dis- closed in the prior art. As a result of the interaction of the organic film and the plasma, the organic film is either removed, or chemically changed so as to render the film removable with subsequent rinsing or cleaning steps in the process. As above, the process limitations, such as flow rate, microwave power, and the like are the same as those conventionally employed in the prior art. The supplemental gases comprises at least two of the gases from the list of supplemental gases given above.
In each of the foregoing embodiments, removal of organic films, including resist layers, is substantially complete, with little or no damage to the underlying ground layer.
Thus, there has been disclosed a process for removing organic materials from the surface of a substrate, employing a plasma ashing process that uses a reactant gas that contains sulfur trioxide. It will be readily apparent to those skilled in this art that various changes and modifications of an obvious nature may be made, and all such changes and modifications are considered to fall within the scope of the appended claims.

Claims

9CLAIMSWhat Is Claimed Is:
1. A process for removing an organic material from a surface of a substrate comprising the steps of:
(a) creating a plasma from a reactant gas comprising sulfur trioxide .and from 5 to 99 volume percent of at least one supplemental gas selected from the group consisting of water vapor, ozone, hydrogen, nitrogen, nitrogen oxides, and halogenides; and
(b) allowing said plasma to impinge upon said surface of said substrate containing said organic material for a time sufficient to ash said organic material but insufficient to attack said surface of said substrate.
2. The process of claim 1, wherein said reactant gas consists essentially of sulfur trioxide gas.
3. The process of claim 1, wherein said reactant gas consists essentially of sulfur trioxide .and one said supplemental gas, said sulfur trioxide having a concentration within a range of about 1 to 95 volume percent.
4. The process of claim 1, wherein said reactant gas consists essentially of sulfur trioxide .and at least two said supplemental gases, said sulfur trioxide having a concentration within a range of about 1 to 95 volume percent.
5. The process of claim 1, wherein said nitrogen oxides are selected from the group consisting of nitrous oxide (N2O), nitric oxide (NO), nitrogen trioxide (NO3), and nitrogen dioxide (NO2).
6. The process of claim 1 , wherein said halogenides are selected from the group consist- ing of tetrafluoromethane (CF4), chlorine (Cl2), nitrogen trifluoride (NF3), hexafluoroethane (C2F6), and methyltrifluoride (CHF3). 10
7. The process of claim 1, wherein said organic material comprises a substance selected from the group consisting of polymerized and non-polymerized photoresists, photoresist residues, photosensitive and non-photosensitive organic compounds, paints, resins, multilayer organic polymers, organo-metallic complexes, sidewall polymers, and organic spin-on-glass.
8. The process of claim 7, wherein said photoresists are selected from the group consisting of positive optical photoresists, negative optical photoresists, electron beam photoresists, X- ray photoresists, and ion-beam photoresists.
9. The process of claim 1, wherein said substrate is selected from the group Consisting of (a) semiconductor wafers and devices comprised of silicon, polysilicon, germanium, III-V materials, and II-VI materials, (b) oxides, (c) nitrides, (d) oxynitrides, (e) inorganic dielectrics, (f) metals and metal alloys, (g) ceramic devices, (h) photomasks, (i) liquid crystal and flat panel displays, (j) printed circuit boards, (k) magnetic read/write heads, and (1) thin film heads.
10. The process of claim 9, wherein said metals and metal alloys are selected from the group consisting of aluminum and aluminum-silicon-copper alloy.
1 1. The process of claim 1 , wherein the plasma ashing process is carried out at a tem- perature between room temperature and 350┬░C.
12. The process of claim 11, wherein said temperature is less than 200┬░C.
13. The process of claim 1, wherein said process is carried out in a down-flow, barrel, downstream, or direct ashing apparatus.
EP99904261A 1998-01-28 1999-01-26 Process for ashing organic materials from substrates Ceased EP1074043A4 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US1469598A 1998-01-28 1998-01-28
US14695 1998-01-28
PCT/US1999/001560 WO1999039382A1 (en) 1998-01-28 1999-01-26 Process for ashing organic materials from substrates

Publications (2)

Publication Number Publication Date
EP1074043A1 true EP1074043A1 (en) 2001-02-07
EP1074043A4 EP1074043A4 (en) 2002-11-06

Family

ID=21767120

Family Applications (1)

Application Number Title Priority Date Filing Date
EP99904261A Ceased EP1074043A4 (en) 1998-01-28 1999-01-26 Process for ashing organic materials from substrates

Country Status (9)

Country Link
EP (1) EP1074043A4 (en)
JP (1) JP3358808B2 (en)
KR (1) KR100377711B1 (en)
CN (1) CN1154159C (en)
CA (1) CA2319018C (en)
IL (1) IL137513A (en)
MY (1) MY134851A (en)
TW (1) TWI239994B (en)
WO (1) WO1999039382A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6231775B1 (en) * 1998-01-28 2001-05-15 Anon, Inc. Process for ashing organic materials from substrates
US20050136681A1 (en) * 2003-12-23 2005-06-23 Tokyo Electron Limited Method and apparatus for removing photoresist from a substrate
KR100559947B1 (en) * 2004-08-18 2006-03-13 동부아남반도체 주식회사 Method for post treatment of metal wiring of semiconductor device
US7387968B2 (en) * 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US7381651B2 (en) * 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
CN104599962A (en) * 2014-12-29 2015-05-06 上海华虹宏力半导体制造有限公司 Thick aluminum etching polymer removing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59163826A (en) * 1983-03-08 1984-09-14 Toshiba Corp Dry etching method
US5037506A (en) * 1990-09-06 1991-08-06 Subhash Gupta Method of stripping layers of organic materials
JPH0475323A (en) * 1990-07-17 1992-03-10 Seiko Epson Corp Removal method of resist
FR2673763A1 (en) * 1991-03-06 1992-09-11 Centre Nat Rech Scient Method of anisotropic etching of polymers by plasma

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE68923247T2 (en) * 1988-11-04 1995-10-26 Fujitsu Ltd Process for producing a photoresist pattern.
JP3084910B2 (en) * 1992-03-18 2000-09-04 ヤマハ株式会社 Wiring formation method
JPH05304089A (en) * 1992-04-28 1993-11-16 Dainippon Screen Mfg Co Ltd Method and device of removing resist from surface of substrate
JP2572924B2 (en) * 1992-09-04 1997-01-16 醇 西脇 Surface treatment method of metal by atmospheric pressure plasma
US5550007A (en) * 1993-05-28 1996-08-27 Lucent Technologies Inc. Surface-imaging technique for lithographic processes for device fabrication
JP3391410B2 (en) * 1993-09-17 2003-03-31 富士通株式会社 How to remove resist mask
US5824604A (en) * 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5763016A (en) * 1996-12-19 1998-06-09 Anon, Incorporated Method of forming patterns in organic coatings films and layers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59163826A (en) * 1983-03-08 1984-09-14 Toshiba Corp Dry etching method
JPH0475323A (en) * 1990-07-17 1992-03-10 Seiko Epson Corp Removal method of resist
US5037506A (en) * 1990-09-06 1991-08-06 Subhash Gupta Method of stripping layers of organic materials
FR2673763A1 (en) * 1991-03-06 1992-09-11 Centre Nat Rech Scient Method of anisotropic etching of polymers by plasma

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 009, no. 015 (E-291) 22 January 1985 -& JP 59 163 826 A (TOSHIBA CORP) 14 September 1984 *
PATENT ABSTRACTS OF JAPAN vol. 016, no. 291 (E-1224), 26 June 1992 (1992-06-26) & JP 04 075323 A (SEIKO EPSON CORP), 10 March 1992 (1992-03-10) *
See also references of WO9939382A1 *

Also Published As

Publication number Publication date
JP2002502125A (en) 2002-01-22
CA2319018A1 (en) 1999-08-05
KR100377711B1 (en) 2003-03-26
CN1154159C (en) 2004-06-16
IL137513A (en) 2004-05-12
MY134851A (en) 2007-12-31
CN1289452A (en) 2001-03-28
CA2319018C (en) 2004-08-24
WO1999039382A1 (en) 1999-08-05
KR20010040431A (en) 2001-05-15
JP3358808B2 (en) 2002-12-24
EP1074043A4 (en) 2002-11-06
IL137513A0 (en) 2001-07-24
TWI239994B (en) 2005-09-21

Similar Documents

Publication Publication Date Title
US6231775B1 (en) Process for ashing organic materials from substrates
KR930002679B1 (en) Ashing method of semiconductor device manufacturing process
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
EP0585936B1 (en) Metal selective removal process
CA1124208A (en) Device fabrication by plasma etching
US5773201A (en) Method of stripping a resist mask
EP0234387B1 (en) Method of removing photoresist on a semiconductor wafer
US8716143B1 (en) Plasma based photoresist removal system for cleaning post ash residue
JPS6352118B2 (en)
EP1661162A2 (en) Plasma ashing process
KR20110095908A (en) Front end of line plasma mediated ashing processes and apparatus
EP0888578B1 (en) Solutions and processes for removal of sidewall residue after dry-etching
US6162733A (en) Method for removing contaminants from integrated circuits
EP1143498A2 (en) Post etch photoresist and residue removal process
CA2319018C (en) Process for ashing organic materials from substrates
JPH1187324A (en) Plasma processing method
US6686296B1 (en) Nitrogen-based highly polymerizing plasma process for etching of organic materials in semiconductor manufacturing
JPH0590223A (en) Manufacture of semiconductor device and semiconductor manufacturing device
JPH0794469A (en) Dry etching method
JP2925751B2 (en) Method for manufacturing semiconductor device
KR100528266B1 (en) Solution for removing residual wall residue after dry etching
Voshchenkov PLASMA ETCHING PROCESSES FOR GIGAHERTZ SILICON INTEGRATED CIRCUITS (Part 2)
JPH10163175A (en) Dry etching method

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20000808

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

A4 Supplementary search report drawn up and despatched

Effective date: 20020924

AK Designated contracting states

Kind code of ref document: A4

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

17Q First examination report despatched

Effective date: 20030612

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN REFUSED

18R Application refused

Effective date: 20060413