EP0698230A1 - Chemisch amplifizierter photolack - Google Patents

Chemisch amplifizierter photolack

Info

Publication number
EP0698230A1
EP0698230A1 EP94900495A EP94900495A EP0698230A1 EP 0698230 A1 EP0698230 A1 EP 0698230A1 EP 94900495 A EP94900495 A EP 94900495A EP 94900495 A EP94900495 A EP 94900495A EP 0698230 A1 EP0698230 A1 EP 0698230A1
Authority
EP
European Patent Office
Prior art keywords
sulfonic acid
composition
photoresist
group
acid precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP94900495A
Other languages
English (en)
French (fr)
Other versions
EP0698230A4 (de
Inventor
William Ross Brunsvold
Gregory Breyta
Richard Anthony Dipietro
Hiroshi Ito
Christopher John Knors
Ranee Wai-Ling Kwong
Steve Seiichi Miura
Melvin Warren Montgomery
Wayne Martin Moreau
Harbans Singh Sachdev
Kevin Michael Welsh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of EP0698230A4 publication Critical patent/EP0698230A4/de
Publication of EP0698230A1 publication Critical patent/EP0698230A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists

Definitions

  • the present invention relates to lithographic resists or photoresists which have increased sensitivity and which are free of metallic sensitizers.
  • it relates to resists which achieve chemical amplification by means of sulfonyloxyimide sensitizers which generate a strong acid upon exposure to ultraviolet, electron beam or x-ray radiation.
  • U.S. Patent 4,102,687 to Crivello discloses UV curable organic resin compositions comprising a thermosetting organic condensation resin of formaldehyde with urea, phenol or melamine and a Group Via (i.e., S, Se or Te) onium salt. The use of such compositions as photoresists is suggested.
  • U.S. Patent 4,108,747 to Crivello discloses the use of polyaryloniumtrifluoromethanesulfonate salts as photo- initiators for a variety of cationically polymerizable organic materials.
  • the disclosed ionic onium triflate salts were shown to be useful in imagable photoresists where negative images were formed by a mechanism which cross-linked a epoxy novolak resins.
  • U.S. Patent 4,371,605 to Renner discloses the use of sulfonic acid esters of N-hydroxyamides and N- hydroxyimides as photoinitiators for certain photopolymerizable compositions, which compositions may then be used to pattern circuit boards and the like.
  • the compositions may be patternwise exposed to ultra violet light having a wavelength longer than about 310 nm, and development in acetone will produce a negative tone relief image.
  • the presumed mechanism for such photoinitiation involves a cleavage of the photoinitiator upon irradiation to produce the corresponding sulfonic acid which in turn catalyzes the cationic polymerization of the polymerizable substance.
  • the polymerizable materials include ethylenically unsaturated compositions, ring compositions that undergo a ring opening, and like acid catalyzed polymerizable materials such as melamine resins.
  • Polyaromatic sensitizers were added to enhance the sensitivity of the composition, but these sensitizers are too opaque at 254 nm to be useful for deep UV applications, and are not soluble in the aqueous alkaline developers commonly used in semiconductor photolithography.
  • U.S. Patent No. 4,491,628 to Ito, et al. discloses photoresist compositions comprising onium salt cationic photoinitiators of the metal and metalloid types, in admixture with a polymer having recurrent acid labile pendant groups.
  • a strong acid is produced by photodecomposition of the onium salt, which, in the presence of heat, then cleaves the pendant acid labile group and concomitantly renders the exposed portion of the polymer differentially soluble in a developer.
  • Polymers disclosed by Ito, et al. include poly(p-tert-butyloxycarbonyloxystyrene) and poly(p-tert-butyloxycarbonyloxy- -methylstyrene) .
  • the compositions may be patterned by exposure to deep UV light, having a wavelength in the range from about 200 to 300 nm. Alternatively, by the addition of polyaromatic sensitizers, the composition is useable at longer wavelengths.
  • U.S. Patent No. 4,603,101 to Crivello discloses photoresist compositions comprising cationic photoinitiators such as diaryliodonium salts and aryl sulfonium salts and a polystyrene made from t-substituted organomethyl vinyl aryl ethers. There are disclosed methods to make the system more sensitive to longer wavelengths.
  • U.S. Patent No. 4,618,564 to Demmer, et al. discloses photoresist compositions comprising aqueous alkaline soluble polymers in admixture with N- sulfonyloxyimides which are capable of inhibiting the dissolution of the polymers in aqueous alkaline developers.
  • Suitable polymers include novolaks, polyethylene carboxylic acids and derivatives thereof, styrene maleic anhydride copolymers, and polycarbonates derived from dihydric alcohols and dicarboxylic acids.
  • Polycyclic aromatic sensitizers may be added to enhance the sensitivity of the composition, but these sensitizers are too opaque to be useful for deep UV applications, and are poorly soluble in the aqueous alkaline developers commonly used in semiconductor photolithography.
  • Positive Photo Sensitive Material (Society of Plastic Engineers, Mid-Hudson Section, Technical Papers: Photo Polymers—Processes and Materials, pages 122-131, (1988) discloses the use of positive working compositions comprising silyl ethers containing polymers which exhibit improved hydrophilicity and trihalomethyl substituted s- triazine and 1,3,4-oxadiazole photo-induced acid precursors.
  • the use of an N-phenylsulfonyloxy- 1,8-naphthalimide sensitizer is shown, but the performance is said to be poorer than quinone diazide — the standard or control.
  • onium salts with metal ions such as Ph-.SSbFg have high purity, high thermal stability, and provide very sensitive resist systems
  • the need for a non-metallic sensitizer in semiconductor processing exists due to the necessity of avoiding formation of insoluble and nonvolatile metal oxides during reactive ion etching and of preventing metal contamination during ion implant.
  • Another difficulty with onium salt sensitizers is the lack of compatibility with many resist polymers because of polarity differences, which results in phase separation of the solid components.
  • onium salts have limited solubility in many organic solvents, especially non-polar or moderately polar solvents.
  • aqueous alkaline developers will not fully dissolve or disperse the sensitizers and scumming results. Scum formation is exacerbated by small lithographic feature size, as boundary layer effects and developer solution viscosity act to inhibit the flow of fresh developer into submicron features. Furthermore, the hydrophobic nature of the sensitizers reduces the dissolution rate of the aqueous alkaline soluble portion of the resist composition, thus reducing the effective photospeed.
  • FIG. 1 is a thermogravimetric analysis (TGA) trace of selected acid generators.
  • Figure 2 is a schematic representation of the photochemical absorption of radiation and subsequent energy transfer between photoresist components.
  • Figure 3 is an ultraviolet absorption spectrograph of poly ( 4-t-butyloxycarbonyloxystyrene-co-4- hydroxystyrene) (PBOCST-PHOST, also referred to as "poly
  • Figure 4 is a photomicrograph showing imaged photoresist having 1 ⁇ m lines and spaces and nearly vertical profiles.
  • resists for use in ultra-violet, electron beam and x-ray exposure devices comprise a polymeric or molecular composition, the solubility of the composition being dependent upon the presence of acid removable protecting groups, and a sulfonic acid precursor which generates a strong acid upon exposure to such radiation.
  • the resist formulations of the present invention comprise an N-fluoroalkylsulfonyloxyimide sensitizer or a substituted or unsubstituted alkylsulfonyloxyimide sensitizer or a substituted or unsubstituted benzenesulfonyloxyimide sensitizer and a polymeric resin or molecular monomer having an acid removable protecting group such as a carbonate or a carboxylate.
  • the sulfonic acid precursor is an N-sulfonyloxyimide of the form o
  • X and Y (1) form a cyclic or polycyclic ring which may contain one or more hetero atoms, (2) form a fused aromatic ring, (3) may be independently H, alkyl or aryl, (4) may be attached to another sulfonyloxyimide containing residue, or (5) may be attached to a polymeric chain or backbone, or alternatively of the form
  • - 1 - polycyclic ring which may contain one or more hetero atoms, (2) form a fused aromatic ring, (3) may be independently H, alkyl or aryl, (4) may be attached to another sulfonyloxyimide containing residue, or (5) may be attached to a polymeric chain or backbone.
  • the imide moiety of the N-sulfonyloxyimide sulfonic acid precursor may also include substituted aromatic rings wherein the substituents are selected to control the UV absorbance properties, dissolution inhibiting or promoting characteristics, and thermal or hydrolytic stability.
  • the substituted aromatic imides include, inter alia, 3-, and 4- nitronaphthalimide, 4-chloro and 4-bromonaphthalimide, and N,N' -bis(camphorsulfonoxy)- 3,4,9, 10-perylenetetra-carboxdiimide.
  • TGA thermogravimetric analysis
  • the sulfonyloxyimide sensitizers of the present invention provide increased sensitivity when used in a variety of photoresist compositions which may be exposed under various radiation conditions.
  • the sulfonyloxyimide sensitizer is used in admixture with the resin or is attached to the polymer backbone, and is present in a quantity such that upon exposure of the resist to ultra-violet radiation, electron beam radiation or x-ray beam radiation the composition becomes more soluble when working in a positive tone and less soluble when working in a negative tone.
  • the sulfonyloxyimide sensitizer is present in from about 1 to 20% by weight of the resin. It is preferred that such sulfonic acid precursor be present in an amount of 5 to 10% by weight based upon the resin.
  • resins and monomers which can be derivatized with acid removable groups and which are useful in the present invention are well known in the art. They include, for example, novolak resins such as cresol novolak, p-hydroxystyrene and copolymers of methacrylic acids and esters thereof as well as their monomeric precursors.
  • novolak resins such as cresol novolak, p-hydroxystyrene and copolymers of methacrylic acids and esters thereof as well as their monomeric precursors.
  • a polymer is selected which will absorb the incident radiation and transfer the absorbed energy to the sulfonyloxyimide acid generator. Hydroxyaromatic comprising polymers are especially preferred.
  • a proposed mechanism for the energy absorption and transfer is shown in Figure 2. Referring to the figure, a polymer having optical absorbtivity in the wavelength range of the exposing radiation captures a photon and is converted to the excited state. The excited state polymer then transfers an electron to the N-sulfonyloxyimide to form a radical cation - radical anion pair. The N-sulfonyloxyimide radical anion decomposes homolytically to give a imidyl anion and a sulfonatyl radical.
  • the sulfonatyl radical then abstracts a hydrogen atom from the immediate environment to give a protic acid.
  • the donor radical cation can also abstract a hydrogen atom from the immediate environment.
  • the resulting cation dissociates to form a neutral donor and a proton, which represents a second equivalent of acid, and which may be consumed in neutralization of the imidyl anion.
  • Compounds which serve as electron donors improve overall sensitivity by rendering the energy transfer more thermodynamically favorable.
  • Compounds which serve as hydrogen atom donors increase the efficiency of acid production by reacting with the donor radical cation.
  • Electron donating hydroxyaromatic compounds are capable of both functions, thus they increase the overall efficiency of acid generation.
  • Hydroxyaromatic comprising polymers are examples of such electron donating hydroxyaromatic compounds; furthermore, such polymers have optical absorbtivity characteristics which favor the absorption of ultraviolet energy in the range of about 200 to about 300 nm. Thus, hydroxyaromatic comprising polymers are especially useful in the present invention.
  • the resist formulations may contain additives which enhance the sensitivity of the formulation including plasticizers, surfactants, adhesion promotors, casting solvents, dyes, preservatives, etc., and may be made positive or negative working as will become apparent to those skilled in the art.
  • solubility limits of six representative N- sulfonyloxyimides in common photoresist solvents were determined by dissolving small portions in propylene glycol monomethyl ether acetate (PMA) or in 2-ethoxyethyl propionate (EEP).
  • Solubility was found to decrease within a family of N-sulfonyloxyimides having the same imide moiety as the percentage of fluorine in the sulfonyloxy moiety decreases, according to the order: MDT, which is more soluble than p- fluorobenzenesulfonyloxy-bicyclo[2.2.1]- hept-5-ene-2,3-dicarboximide (FBS-MD), which is more soluble than p-methylbenzenesulfonyloxy-bicyclo[2.2.1]- hept-5-ene-2,3-dicarboximide (Tos-MD) .
  • Table 2 shows the solubility limits, expressed as percent weight / weight. TABLE 2 Solubility Comparison
  • a solution of 17.4 mg of MDT was dissolved in 25 ml of acetonitrile and the ultraviolet absorption spectrum was measured using a 1 cm quartz cell.
  • a solution of 200 mg of poly ( 4 - t - butyloxycarbonyloxystyrene-co-4-hydroxystyrene) (PBOCST-PHOST, also referred to as "poly B") was dissolved in 250 ml of acetonitrile and the ultraviolet absorption spectrum was similarly measured using a 1 cm quartz cell. The spectra are shown in Figure 3.
  • the MDT solution is 10 times more concentrated than the corresponding solution would be if dissolved in a 250 ml portion of acetonitrile which would correspond to the poly B solution, or, that in order to directly compare the absorbance of the MDT and the poly B in proportions corresponding to a typical photoresist composition, the MDT absorbance should be divided by 10 relative to the absorbance shown in the figure. It should also be particularly noted that the contribution by MDT alone to the total absorbance of an 8% w/w MDT in poly B mixture, at a wavelength of 267 nm, is less than 0.01%.
  • a photoresist formulation comprising about 20 weight percent poly (4-t-butyloxycarbonyloxystyrene-co-4- hydroxystyrene) (PBOCST-PHOST, also referred to as "poly B"), 8 weight percent of trifluoromethylsulfonyloxy- bicyclo[2.2. l]-hept-5-ene-2,3-dicarboximide, and the balance of propylene glycol methyl ether acetate was coated onto silicon wafers and baked for 1 minute on a 90° C hot plate to give films having a thickness of about 1.1 ⁇ m. The coated wafers were each exposed to monochromatic UV light having a single wavelength selected from the values shown in Table 3. Using a standardized 60 second immersion in a TMAH solution for development, the dose to clear was determined for each of the 13 wafers by a method well known in the art. The results are shown in Table 3.
  • the percent of conversion of the acid generator to a protic acid in films comprising a polymer in admixture with one of trif luoromethylsulf onyloxy-bicyclo [2.2.1]- hept - 5 - ene- 2 , 3 -dicarboximide ( MDT ) ortrifluoromethylsulfonyloxy- diphenyl- ( p - methylphenyl)sulf onium salt (TDPSTf) was determined for exposure with one of deep UV or e-beam (Eb) radiation. The results are shown in Table 4.
  • the quantum yield of the acid generator in films comprising a polymer in admixture with one of trifluoro- methylsulfonyloxy-bicyclo[2.2.1]-hept-5-ene-2,3- dicarboximide (MDT) ortrifluoromethylsulfonyloxydiphenyl- (p-methylphenyl)-sulfonium salt (TDPSTf) was determined for upon exposure to deep UV radiation. The results are shown in Table 5. Q is quantum yield and OD is optical density.
  • a photoresist formulation comprising about 20 weight percent poly(4-t-butyloxycarbonyloxystyrene) (PBOCST) prepared in accordance with U.S. Patent No. 4,491,628, the disclosure of which is hereby incorporated by reference into the present application, 4 weight percent of trifluoromethylsulfonyloxy-7-oxabicyclo[2.2. l]-hept-5- ene-2,3-dicarboximide made in accordance with the procedure of Example 1 and referred to as ODT and 76 weight percent of propylene glycol methyl ether acetate was coated onto a silicon wafer and baked for 1 minute on a 100° C hot plate to give a 1.1 ⁇ m film. The coated wafer was exposed to deep ultraviolet radiation through a chrome mask on a Perkin-Elmer PE500 exposure tool with a setting for aperture 4 (radiation in the range of 240 -
  • the exposed wafer was subjected to a 90 second post exposure bake (PEB) at 90° C followed by a 90 second development in a xylene spray to provide 1 ⁇ m negative tone images having nearly straight wall profiles .
  • PEB post exposure bake
  • a photoresist composition comprising 20 weight percent of a poly (4-t-butyloxycarbonyloxystyrene-co-
  • PBOCST-PHOST 4-hydroxystyrene resin
  • PBOCST-PHOST 4-hydroxystyrene resin
  • 78 weight percent propylene glycol methyl ether acetate was coated onto a silicon wafer and baked between 70 and 100° C (preferably between 70 and 90° C for 1 minute on a hot plate to give a 0.9 ⁇ m film.
  • the coated wafer was exposed to a deep ultraviolet radiation through a chrome mask on a Perkin-Elmer PE500 exposure tool with a setting for
  • TMAH tetramethyl ammonium hydroxide
  • Trifluoromethylsulfonyloxy-7- oxabicyclo-[2.2.1]-hept-5-ene-2,3-dicarboximide, trifluoromethylsulfonyloxy-succinimide (SDT) and bi- functional compounds containing two triflate groups per molecule such as N,N'-bistrifluoromethylsulfonyloxyo(3- methyl-4,5-imido-cyclohex-3-enyl) succinimide were imaged in a similar manner.
  • the sensitized resist materials of the present invention are also sensitive to electron beam exposure.
  • the formulation of example 6 (PBOCST and ODT) was baked
  • a formulation consisting of 2 weight percent of trifluoromethylsulfonyloxybicyclof2.2.l]-hept-5-ene-2,3- dicarboximide (MDT), 5 weight percent bis-Phenol A-di-t- butyl carbonate, 20 weight percent novolak resin and 73 weight percent propylene glycol methyl ether acetate was coated onto a wafer to give a 1.2 ⁇ m film. The coated wafer was subjected to deep UV exposure in UV2 (240-260
  • PBOCST-PHOST poly (4-t-butyloxycarbonyloxystyrene-co-4- hydroxystyrene)
  • propylene glycol methyl ether acetate was prepared and spun coated on a silicon wafer at 4500 rpm and baked at 90°C for 1 minute on a hot plate to give a film having a thickness from about 0.9 to 1.1 ⁇ m.
  • the coated wafer was exposed to deep UV radiation through a chrome mask on a Perkin Elmer PE500 exposure tool with a setting for aperture 4 and received a dose of 8-10
  • the exposed wafer received a 90 second PEB at
  • Another positive photoresist formulation was prepared comprising 20 weight percent poly(4-t-butyl- oxycarbonyloxystyrene-co-4-hydroxystyrene) , (PBOCST- PHOST) 0.75 to 1.0 weight percent of N- trifluorosulfonyloxydiphenyl maleimide (DPMT)
  • Example 11 The resist composition of Example 11 (PBOCST-PHOST and DPMT) was prepared and spun on silicon wafers as described in that example. The coated wafers were then exposed to ultraviolet radiation on an h-line stepper at
  • Still another resist formulation was prepared comprising 20 weight percent poly(4-t-butyloxy- carbonyloxystyrene-co-4-hydroxy-styrene) (PBOCST-PHOST) , 0 . 35 to 0.50 we i ght percent N - trifluorosulfonyloxyphthalimidyl ether (ODPT)
  • the exposed wafer received a 90 second PEB at 90°C followed by a 60 second development in aqueous base.
  • the resulting images were 1 ⁇ m in width with nearly vertical sidewalls.
  • a negative photoresist formulation was prepared comprising 20 weight percent poly-(t-butyloxycarbonyl- oxystyrene, 0.75 - 1.0 weight percent of bistrifluoromethylbis-N,N' -trifluoromethyl-sulfonyloxy- phthalimidylmethane (6FPDT)
  • the formulation was spun coated onto a silicon wafer at 4500 rpm and baked at 90°C for 1 minute on a hot plate to give a 0.9 to 1.1 ⁇ m film.
  • the coated wafer was exposed to deep ultraviolet radiation on a Perkin Elmer PE 500 exposure tool with a setting for aperture 4 in UV2 at an
  • Example 15 2 average wavelength of 254 nm and received an 8-10 Mj/cm exposure dose.
  • the exposed wafer was developed in xylene for 10 seconds providing 1 ⁇ m images with nearly vertical side walls.
  • Example 15
  • Another negative acting resist formulation was prepared comprising 20 weight percent poly-t-butyloxycarbonyloxy- styrene (PBOCST), 0.75 - - 1.0 weight percent N- trifluoromethylsulfonyloxydiphenyl- maleimide, and the balance of propylene glycol methyl ether acetate.
  • PBOCST poly-t-butyloxycarbonyloxy- styrene
  • N- trifluoromethylsulfonyloxydiphenyl- maleimide the balance of propylene glycol methyl ether acetate.
  • This composition was spun coated onto a silicon wafer at 4500 rpm and baked at 90°C for one minute on a hot plate to give a 0.9 - 1.1 ⁇ m film. The coated wafer was exposed to ultraviolet radiation on a Perkin Elmer PE 500 exposure
  • the exposed wafer received a 90 second PEB at 90°C followed by a 10 second development with xylene which produced 1 ⁇ m negative images with nearly vertical sidewalls.
  • a monomer of N-trifluoromethylsulfonyloxy maleimide was prepared in the method as described by CD. Beard et al, J. Org, Chem. , 38, 3673(1973j and was polymerized with p- t-butyloxycarbonyloxystyrene in accordance with the method set forth in U.S. Patent No. 4,491,628 using azobisisobutyronitrile (AIBN) as an initiator.
  • AIBN azobisisobutyronitrile
  • the resultant polymer poly(4-5-butyloxycarbonyloxy- styrene-co-N-trifluoromethane sulfonyloxy maleimide) was spun coated on a silicon wafer and baked at 90°C for 1 minute on a hot plate. The coated wafer was exposed to deep ultraviolet radiation on a Perkin Elmer PE500
  • a poly(maleic anhydride-styrene) copolymer was reacted with hydroxylamine hydrochloride in pyridine to produce the corresponding N-hydroxylmaleimide-styrene copolymer. Thereafter this copolymer
  • a photoresist formulation was prepared comprising 20 weight percent poly (t-butyloxycarbonyloxystyrene) (PBOCST), 8 weight percent po ly - ( N - tr i f 1 u o rome thy1 - sulfonyloxymaleimide-co-styrene) and 72 weight percent propylene glycol methyl ether acetate.
  • PBOCST poly (t-butyloxycarbonyloxystyrene)
  • This composition was spun coated onto a silicon wafer and baked at 90°C for one minute on a hot plate to give a film 0.8 to 1.0 ⁇ m thick.
  • the coated wafer was exposed to deep ultraviolet radiation through a chrome mask on a Perkin
  • the exposed wafer received a 90 second PEB at 90°C followed by development in anisole to provide good image transfer down to the substrate.
  • An epoxide containing sensitizer was prepared by the oxidation of N-trifluorosulfonyloxybicyclo-
  • a positive tone resist formulation was prepared comprising 20 weight percent poly (4-t- butyloxycarbonyloxystyrene-co-4-hydroxystyrene) (PBOCST- PHOST) , 2 weight percent of the epoxy sensitizer (EXMXT) prepared above, and the balance being propylene glycol methyl ether acetate.
  • This resist formulation was spun on a silicon wafer at 3,000 RPM and dried on a hot plate at 90° C for 1 minute. The coated wafer was exposed to deep ultraviolet radiation using a Perkin-Elmer PE500 exposure tool with aperture setting 4 and an average wavelength of
  • the exposed wafers received a 90 second PEB at 90° C followed by development in aqueous base resulting in a pattern of 1 ⁇ m lines and spaces with nearly a vertical sidewalls.
  • sensitization of the sulfonyloxyimide (triflate) compositions of the present invention can be enhanced using sensitizers which are sufficiently transparent between 200 - 300 nm, the absorbance being less than preferable 0.8. These sensitizers are thought to undergo electron transfer mechanisms from the sensitizer to the triflate acceptor.
  • aromatic phenols such as hydroquinone, resorcinol, pyrogallol, bisphenol-A, 2,6-di-t-butyl-4- mthylphenol, 3,3' ,5,5' -tetra-t-butylbisphenol A, and methylene bishydroquinone, 2,5-di-t-butylhydroquinone, have been found to potentiate bicyclo-[2.2.
  • a resist formulation comprising: 20 weight percent poly(4-t-butyloxycarbonyloxystyrene-co-4-hydroxystyrene) , ( BCOS - PHOS ) , 2 wei ght perc ent N - trifluoromethylsulfonyloxybicyclo-[2.2. l]-hept-5-ene-2,3- dicarboximide (MDT) , and 2 weight percent hydroquinone showed an increase in sensitivity to x-ray exposures of at least two times and to electron beam exposure of 1.5 times. Table 6 shows the comparison of photoresists with and without additional the addition of such additives.
  • a resist formulation comprising 20 weight percent poly (4-t-butyloxycarbonyloxystyrene) (PBOCST), 2 weight percent N-pentafluorobenzenesulfonyloxy-bicyclo-[2.2.1]- hept-5-ene-2, 3-dicarboximide, 2 weight percent hydroquinone, and 71 weight percent propylene glycol methyl ether acetate was prepared as was a like resist without hydroquinone.
  • PBOCST poly (4-t-butyloxycarbonyloxystyrene)
  • a base developable negative resist may be prepared wherein an alkali soluble base resin such as p- hydroxystyrene is combined with a crosslinking agent such as for example an alkoxylated melamine formaldehyde or urea formaldehyde resin and the triflate sensitizer of the present invention.
  • a crosslinking agent such as for example an alkoxylated melamine formaldehyde or urea formaldehyde resin and the triflate sensitizer of the present invention.
  • a composition comprising approximately 15% p-hydroxystyrene, 5% alkoxylated urea formaldehyde, 2% MDT and 78% propylene glycol methyl ether acetate will produce fine negative images in UV, e- beam and x-ray radiation due to the cleavage of the al oxy group from the urea formaldehyde backbone and subsequent crosslinking with the p-hydroxystyrene in the exposed areas.
  • N-Camphorsulfonoxynaphthalimide 4.7 g of N- hydroxynaphthalimide sodium salt, 5.0 g (+/-) camphorsulfonyl chloride, and a few crystals of 18-crown- 6 were added to 100 Ml glyme and stirred at ambient temperature overnight under N 2 . The next day the solution was brought to reflux and filtered hot through diatomacious earth, using additional hot glyme to wash filter cake. The solvent was evaporated, and the product recrystallized from toluene / heptane, filtered, rinsed with cold toluene, then heptane, sucked dry, then placed in a vacuum oven at 50°C. Yield 8.2 g (96.5%) of faintly yellow crystals.
  • N-Trifluoromethanesulfonoxy-1,8-naphthalimide 23.5 g of sodium N-hydroxy-1,8-naphthalimide and 28.2 g triflic anhydride were added to 250 Ml chloroform and stirred at room temperature overnight. The next day an additional 250 Ml chloroform was added, the reaction brought to reflux, then filtered through dicalite and allowed to crystallize. Yield 23.5 g (68.1 %) of faintly yellow crystals.
  • N-Camphorsulfonoxy-3-nitro-l,8-naphthalimide (One pot procedure): 4.9 g 3-Nitro-l,8-naphthalic anhydride and 1.5 g hydroxylamine hydrochloride were added to 100 Ml of dry pyridine. After stirring two hours, the reaction was brought to reflux and 20 Ml of pyridine was distilled off. Reaction was cooled to room temperature and 5.0 g Camphorsulfonyl chloride added and reaction stirred overnight at room temperature. The reaction was poured onto approximately 500 Ml crushed ice. When ice was almost all melted, the mixture was filtered and the solid rinsed with water and sucked dry. The solid was recrystallized from cyclohexanone to give 4.5 g orange crystals.

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials For Photolithography (AREA)
EP94900495A 1992-10-29 1993-10-29 Chemisch amplifizierter photolack Withdrawn EP0698230A1 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US96812092A 1992-10-29 1992-10-29
US968120 1992-10-29
PCT/US1993/010512 WO1994010608A1 (en) 1992-10-29 1993-10-29 Chemically amplified photoresist

Publications (2)

Publication Number Publication Date
EP0698230A4 EP0698230A4 (de) 1995-10-24
EP0698230A1 true EP0698230A1 (de) 1996-02-28

Family

ID=25513764

Family Applications (1)

Application Number Title Priority Date Filing Date
EP94900495A Withdrawn EP0698230A1 (de) 1992-10-29 1993-10-29 Chemisch amplifizierter photolack

Country Status (3)

Country Link
EP (1) EP0698230A1 (de)
JP (1) JP2839172B2 (de)
WO (1) WO1994010608A1 (de)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI235887B (en) * 1995-10-27 2005-07-11 Sumitomo Chemical Co Succinimide derivative
JPH09166871A (ja) * 1995-12-15 1997-06-24 Sumitomo Chem Co Ltd フォトレジスト組成物
US7482107B2 (en) 1997-08-28 2009-01-27 Shipley Company, L.L.C. Photoresist composition
US7026093B2 (en) 1997-08-28 2006-04-11 Shipley Company, L.L.C. Photoresist compositions
US6037107A (en) * 1997-08-28 2000-03-14 Shipley Company, L.L.C. Photoresist compositions
WO2001055789A2 (en) * 2000-01-25 2001-08-02 Infineon Technologies Ag Chemically amplified short wavelength resist
WO2002039186A2 (en) * 2000-11-09 2002-05-16 E. I. Du Pont De Nemours And Company Photoacid generators in photoresist compositions for microlithography
US6582879B2 (en) * 2001-03-27 2003-06-24 Korea Research Institute Of Chemical Technology Reactive photo acid-generating agent and heat-resistant photoresist composition with polyamide precursor
WO2003045915A1 (fr) 2001-11-30 2003-06-05 Wako Pure Chemical Industries, Ltd. Compose de bisimide, generateur d'acide et composition de reserve contenant ledit compose, procede de formation de motif au moyen de ladite composition
JP2003302753A (ja) * 2002-04-11 2003-10-24 Matsushita Electric Ind Co Ltd パターン形成方法
WO2011087011A1 (ja) 2010-01-13 2011-07-21 株式会社Adeka 新規スルホン酸誘導体化合物及び新規ナフタル酸誘導体化合物
JP5782283B2 (ja) 2010-03-31 2015-09-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 新規のポリマーおよびフォトレジスト組成物
US20110300367A1 (en) 2010-06-07 2011-12-08 Ching-Kee Chien Optical Fiber With Photoacid Coating
US20150315153A1 (en) 2012-11-28 2015-11-05 Adeka Corporation Novel sulfonic acid derivative compound, photoacid generator, cationic polymerization initiator, resist composition, and cationically polymerizable composition
US9322986B2 (en) 2013-06-24 2016-04-26 Corning Incorporated Optical fiber coating for short data network
EP2998297A1 (de) * 2014-09-18 2016-03-23 Heraeus Materials Korea Corporation Photosäureerzeugende Verbindungen, besagte Verbindungen enthaltende Zusammensetzungen, Verbundmaterial und Verfahren zur Herstellung des Verbundmaterials sowie Verwendungen besagter Verbindungen
EP3272737B1 (de) 2015-03-18 2019-07-24 Adeka Corporation Sulfonsäurederivatverbindung, photosäuregenerator, resistzusammensetzung, kationischer polymerisationsinitiator und kationisch polymerisierbare zusammensetzung
JP2018091938A (ja) 2016-11-30 2018-06-14 株式会社Adeka ポジ型感光性組成物、それを用いたパターンおよびパターンの製造方法
CN112094231B (zh) * 2020-09-18 2022-04-08 河北凯力昂生物科技有限公司 一种n-羟基萘酰亚胺三氟甲磺酸酯的合成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0388343A2 (de) * 1989-03-14 1990-09-19 International Business Machines Corporation Chemisch amplifizierter Photolack
EP0445058A1 (de) * 1990-03-01 1991-09-04 International Business Machines Corporation Geschwindigkeitsverbesserungen für säuresensibilisierten Photolack

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4371605A (en) * 1980-12-09 1983-02-01 E. I. Du Pont De Nemours And Company Photopolymerizable compositions containing N-hydroxyamide and N-hydroxyimide sulfonates
US4491628A (en) * 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
GB8413395D0 (en) * 1984-05-25 1984-07-04 Ciba Geigy Ag Production of images

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0388343A2 (de) * 1989-03-14 1990-09-19 International Business Machines Corporation Chemisch amplifizierter Photolack
EP0445058A1 (de) * 1990-03-01 1991-09-04 International Business Machines Corporation Geschwindigkeitsverbesserungen für säuresensibilisierten Photolack

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO9410608A1 *

Also Published As

Publication number Publication date
EP0698230A4 (de) 1995-10-24
JPH08501890A (ja) 1996-02-27
WO1994010608A1 (en) 1994-05-11
JP2839172B2 (ja) 1998-12-16

Similar Documents

Publication Publication Date Title
EP0388343B1 (de) Chemisch amplifizierter Photolack
JP2562178B2 (ja) 感光層形成材料用の放射線感応性混合物
JP5918111B2 (ja) 光感応性酸発生剤およびそれらを含むフォトレジスト
WO1994010608A1 (en) Chemically amplified photoresist
WO1994010608A9 (en) Chemically amplified photoresist
US5648196A (en) Water-soluble photoinitiators
US5585220A (en) Resist composition with radiation sensitive acid generator
JPH04230645A (ja) オレフィン的に不飽和のオニウム塩
JP2000066385A (ja) 高感度で高レジスト厚さのi線ホトレジスト用スルホニルオキシム類
US4980264A (en) Photoresist compositions of controlled dissolution rate in alkaline developers
JP2845520B2 (ja) ウェーハの構造化方法
US5234791A (en) Radiation-curable composition and radiation-sensitive recording material prepared therefrom for use with high-energy radiation
US5403697A (en) Positive radiation-sensitive mixture and recording material produced therefrom
EP0264908B1 (de) Hochempfindliche Resiste mit Selbstzersetzungstemperatur grösser als etwa 160 Grad Celsius
JP3290234B2 (ja) ポジ型感光性組成物
JPH0728247A (ja) 感放射線性混合物およびそれを用いるレリーフ構造体の製造方法
US5104770A (en) Positive-working photoresist compositions
JPH08225617A (ja) ポリマー
JPH036495B2 (de)
TWI518448B (zh) 感光化射線或放射線樹脂組成物及使用該組成物之圖案形成方法
US5298364A (en) Radiation-sensitive sulfonic acid esters and their use
JP2666852B2 (ja) ポジ型感放射線混合物、ポジ型感放射線記録材料及びその製法
JPH03192260A (ja) マレイミド含有陰画処理型深uvフォトレジスト
KR19990029309A (ko) 가교결합가능한 수성 염기 현상가능한 포토레지스트 조성물 및 이의 사용방법
JP3194645B2 (ja) ポジ型感光性組成物

Legal Events

Date Code Title Description
A4 Supplementary search report drawn up and despatched
AK Designated contracting states

Kind code of ref document: A4

Designated state(s): DE FR GB

PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 19950817

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE FR GB

17Q First examination report despatched

Effective date: 19981123

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 19990605