EP0276717A2 - Method of forming a fine resist pattern in electron beam or x-ray lithography - Google Patents

Method of forming a fine resist pattern in electron beam or x-ray lithography Download PDF

Info

Publication number
EP0276717A2
EP0276717A2 EP88100606A EP88100606A EP0276717A2 EP 0276717 A2 EP0276717 A2 EP 0276717A2 EP 88100606 A EP88100606 A EP 88100606A EP 88100606 A EP88100606 A EP 88100606A EP 0276717 A2 EP0276717 A2 EP 0276717A2
Authority
EP
European Patent Office
Prior art keywords
resist
ultraviolet radiation
forming
resist layer
resist pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP88100606A
Other languages
German (de)
French (fr)
Other versions
EP0276717A3 (en
EP0276717B1 (en
Inventor
Koichi C/O Fujitsu Limited Pat. Dept. Kobayashi
Yasushi C/O Fujitsu Limited Pat. Dept. Takahashi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Publication of EP0276717A2 publication Critical patent/EP0276717A2/en
Publication of EP0276717A3 publication Critical patent/EP0276717A3/en
Application granted granted Critical
Publication of EP0276717B1 publication Critical patent/EP0276717B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/7045Hybrid exposures, i.e. multiple exposures of the same area using different types of exposure apparatus, e.g. combining projection, proximity, direct write, interferometric, UV, x-ray or particle beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • G03F7/2039X-ray radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/167X-ray
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/167X-ray
    • Y10S430/168X-ray exposure process

Definitions

  • This invention relates to a method of forming a fine resist pattern on a semiconductor substrate. More specifically, it relates to a lithography technique utilizing an electron beam or X-rays in an exposure process for a resist layer on the substrate.
  • the most common prior art lithography technique has utilised ultraviolet light to expose a resist layer formed on a semiconductor substrate.
  • the ultraviolet light technique has resolution limitations and is not suitable for forming a resist pattern having dimensions of less than one micron.
  • EB electron beams
  • X-ray lithography X-ray lithography
  • an electron beam having an energy level of about 20 to 30 KeV (Kilo­electron-Volts) is applied to a resist layer having a thickness of about 1 micron formed on the semiconductor substrate.
  • the electron beam still has enough energy even after it has penetrated through the resist layer for the electrons to collide with the substrate under the resist layer and further plunge thereinto.
  • the plunging electrons lose their energy and forward and backward scattering of electrons occurs, so that the resist layer is subjected to extra exposure, especially by backwardly scattering electrons.
  • the scattering directions of the electrons are isotropic, the adjacent portion to the pattern edge of the resist layer is influenced by the scattering electrons, even though this portion is not directly exposed to the primary electron beam.
  • the indirect exposure to the scattering electrons causes deterioration of pattern precision or, in other words, deterioration of contrast or blurring of the pattern, similar to "out of focus" in photography.
  • Figs. 1(a) and 1(b) illustrate a cross-section showing how a negative type resist layer 1 formed on a semiconductor substrate 2 is exposed to an electron beam EB in a region having a width W and is developed.
  • a negative type electron beam resist will be referred to for brevity as a negative EB resist.
  • a pattern 1 ⁇ is obtained, which has a precise dimension W on the surface of the patterned resist layer and a width almost equal to the dimension of the pattern swept by the electron beam, but has a gradually and outwardly extending profile towards the surface of the substrate 2 due to the scattering electrons.
  • the resist pattern 1 ⁇ formed is reversed as shown in Fig. 2.
  • One method is to control the accelerating voltage of the electron beam.
  • the electron beam accelerating voltage is increased, the electron penetrates more deeply into the substrate, and the backward scattering electrons from such a deep portion in the substrate have less energy and the effects on the resist layer are alleviated.
  • the use of an electron beam of higher voltage is therefore preferable in order to obtain reduced pattern blurring.
  • Another method is to apply a multilayer resist system, wherein a plurality of resist layers are coated and laminated on the substrate, the upper resist layer being used as a sensitive layer to the electron beam and the lower resist layer having a comparatively larger thickness than that of the upper layer and being used as a buffer or absorbing layer for scattering electrons.
  • An embodiment of the invention provides a method of forming a resist pattern in which deterioration of resolution due to the backward scattering electrons or photons in the EB or X-ray lithography is avoided.
  • An embodiment of the invention also provides such a method which can be employed in the conventional apparatus used in EB or X-ray lithography and which consists of simple process steps.
  • an EB or X-­ray resist material which is mixed with ultraviolet radiation absorbing material is utilised; (2) the resist layer is selectively exposed to an EB or X-rays having an energy level below that used in the conventional EB or X-ray exposure for obtaining chemical reaction of the resist layer; and (3) the entire surface of the resist layer is further exposed to ultraviolet radiation having an energy level below the minimum quantity of irradiation necessary for the resist layer to show photo reaction.
  • the selectively exposed region of the resist layer receives both exposures of ultraviolet-radiation (abbreviated as UV radiation) and EB or X-rays enough for the resist material to show a chemical reaction such as a crosslinking reaction.
  • UV radiation ultraviolet-radiation
  • EB or X-rays enough for the resist material to show a chemical reaction such as a crosslinking reaction.
  • a substantial part of the UV radiation is absorbed in the upper portion of the resist layer, especially near the surface thereof, and very little of the UV radiation can reach the boundary surface with the semiconductor substrate.
  • the resist layer not exposed to the EB or X-rays does not receive enough energy to show the chemical reaction, even though it is subjected to a lot of backward scattering electrons.
  • contrast or resolution is remarkably improved and the blurring phenomena of the resist pattern can be avoided.
  • the above method of the present invention is not restricted to a particular order of the UV radiation and EB/X-ray exposures.
  • the UV radiation exposure can be applied before or after EB/X-ray exposure, and the same result can be achieved.
  • Fig. 3 shows a relation between the thickness of the residual resist layer and the total electron charge density applied during the EB exposure, when the resist layer is formed utilising a negative EB resist material mixed with an UV radiation absorbing material and is exposed to an EB and then developed.
  • Fig. 3 shows a typical curve for the purpose of illustrating generally the characteristics of the negative resist material of the present invention.
  • a total charge density greater than Fth is necessary for at least part of the resist layer to remain after the exposure and development steps, or, in other words, for the resist material partly to show the chemical reaction.
  • a total charge density Fa is used.
  • the resist material described shows a similar reaction characteristic when exposed to UV radiation.
  • Fig. 4 shows a typical curve of the measured data.
  • the abscissa shows the irradiation period during exposure using a conventional UV radiation source.
  • the curve shows a similar tendency to that shown in Fig. 3; however in Fig. 4, Tth denotes the minimum duration for the resist layer to remain at least in part after the development.
  • the duration Ta is necessary for the exposure process using the UV radiation alone.
  • the value of Fth is little affected by changing the accelerating voltage.
  • the curve is shifted to the left as shown by the dashed curve (a) in Fig. 3.
  • the total charge density of EB irradiation onto the exposure area using a constant accelerating voltage E KEV, is controlled in such a way that the quantity of backward scattering electrons to the nearby portion of the resist layer outside the exposure area is reduced to a level less than Fth.
  • the total charge density on to the exposed area usually becomes less than Fa, and there is therefore not enough charge density for the entire thickness of the resist layer to undergo sufficient chemical reaction for the resist to remain after development.
  • this shortage of electron charge is supplemented by application of the UV radiation on to the entire surface of the resist layer without a mask.
  • the duration of irradiation in the supplementary UV radiation exposure is shorter than Tth which is shown in Fig. 4. Therefore the resist layer, which has not been subjected to the previous EB described above or is not subsequently given another exposure, does not show any reaction in lithography processes.
  • the X-ray resist has similar characteristics to those described with regard to the EB resist, and therefor a similar curve to that shown in Fig. 3 can be obtained except that the abscissa is replaced by the total X-ray irradiation density.
  • the exposure processes are almost the same as those explained above except that the EB exposure is replaced by the X-ray exposure.
  • Chloromethylated polystyrene (abbreviated as CMS) was used as the negative EB resist, and p-azido acetophenone was used as the UV-ray absorbing material.
  • a xylene solution containing 20 to 30 weight percent of CMS and 10 weight percent of p-azido acetophenone was used for coating the resist material.
  • the resist material was coated on the substrate with a thickness of 1.2 ⁇ m by a spin-coating method and dried for 20 minutes at 80.C.
  • the substrate was then subjected to deep UV radiation exposure from a 500 watt Xe-Hg lamp using an exposure apparatus model PLA-500F made by CANON.
  • the entire surface of the resist layer was exposed to the UV radiation without a mask, and the period of exposure was chosen to be 0.5 to 1 seconds, corresponding to a value lower than Tth in Fig. 4, and the exposure was controlled by an aluminium mirror.
  • the resist layer was selectively exposed to a 20 KeV electron beam by sweeping the EB, thereby forming the pattern.
  • the total charge density of the EB was maintained as about 2 ⁇ 10 ⁇ 5 coul/cm2.
  • the pattern sample 1 the pattern obtained by this method, after development, is called pattern sample 1.
  • a resist solution containing only CMS the material generally used in the prior art
  • the substrate was subjected to the EB exposure only, the total charge density being maintained at 3 x10 ⁇ 5 coul/cm2 using the same accelerating voltage as for pattern sample 1. This sample after development is called pattern sample 2.
  • pattern sample 3 This pattern sample after development is called pattern sample 3.
  • Pattern samples 2 and 3 have lower slopes extending outwardly, and the width W thereof on the substrate surface is increased by about 0.3 ⁇ m: this deformation causes reduction of contrast or blurring of formed patterns in lithography. Moreover the thickness of pattern sample 3 is reduced to 0.7 ⁇ m, in contrast to a normal thickness of greater than 1.0 ⁇ m of pattern samples 1 and 2. This shows that in pattern sample 3 the total energy of the UV radiation and EB exposures is insufficient.
  • the method applied for pattern sample 1 in which the new resist material and the exposure method according to the present invention are used shows the most satisfactory shape for obtaining high resolution.
  • p-azido benzoic acid was used mixed with chloromethylated polystyrene (CMS).
  • CMS chloromethylated polystyrene
  • a solution of these materials was utilised in resist coating, and the resist layer formed on the substrate was processed and exposed under the same conditions as in Embodiment 1. After comparison tests, almost the same results were obtained as pattern sample 1 in Embodiment 1. This shows that CMS resist material mixed with p-azido benzoic acid as the UV radiation absorbing material is effective in obtaining high resolution.
  • PDOP polydiarylorthophthalate
  • P-azido acetophenone was used as the UV radiation absorbing material mixed with PDOP.
  • a solution of these materials was utilised as the coating material for forming the resist layer.
  • the same tests as applied in the above Embodiments were carried out, and as a result, it was found that p-azido acetophenone mixed with PDOP is also an effective resist material for obtaining high resolution.
  • PMMA polymethyl methacrylate
  • P-azido acetophenone as the UV radiation absorbing material, was mixed with PMMA and this combination of these two materials proved to be effective for obtaining high resolution.
  • tests were performed using the same resist material and the same exposure conditions as those utilised in obtaining pattern sample 1 in Embodiment 1 except that the substrate was inclined to the incident UV radiation at an angle of 30 to 70 degrees.
  • the increase in the pattern width W was further reduced, resulting in further improvement in resolution.
  • This tilting of the substrate during exposure is effective even in the case of obtaining pattern samples 2 or 3, in which resist material of the prior art is utilised, comprising no UV radiation absorbing material.
  • the resist material such as CMS, PDOP or PMMA used in the above Embodiments has sensitivity in the deep UV wavelength region of shorter than 300 nm. Therefore, material such as p-azido acetophenone, p-azido benzoic acid, or 3-sulfonylazido benzoic acid, each having a large absorption coefficient in the 200 to 300 nm wavelength region, was utilised as UV radiation absorbing material mixed with the above described resist materials.
  • the EB or X-ray exposure is performed after the exposure of UV radiation on to the entire substrate surface.
  • the order of exposure can be reversed so that the resist layer is first exposed selectively to the EB or X-rays and is subsequently exposed to the UV radiation on its entire surface. It has been confirmed that the same results can be obtained in respect of improvements in resolution.

Abstract

In order to reduce deterioration of contrast or resolution due to the backward scattering electrons or photons when electron beam (EB) or X-ray lithography is used to achieve higher integration in semiconductor device fabrication, the following method is used: (1) an EB or X-ray resist material mixed with an absorbing material for ultraviolet (UV) radiation is utilised; (2) the resist layer is selectively exposed to the EB or X-rays with a total irradiation density less than that used in a conventional EB or X-ray exposure; and (3) the entire surface of the resist layer is further exposed to UV radiation with a total irradiation period less than the minimum for inducing a reaction of said resist layer. Resist materials such as CMS, PDOP and PMMA have been tested, each being mixed with p-azido acetophenone as the UV radiation absorbing material, and each mixed resist material proved to be effective in improving contrast and resolution. Other UV radiation absorbing materials such as p-azido benzoic acid and 3-sulfonylazido benzoic acid proved also to be effective.

Description

  • This invention relates to a method of forming a fine resist pattern on a semiconductor substrate. More specifically, it relates to a lithography technique utilizing an electron beam or X-rays in an exposure process for a resist layer on the substrate.
  • The most common prior art lithography technique has utilised ultraviolet light to expose a resist layer formed on a semiconductor substrate. However, the ultraviolet light technique has resolution limitations and is not suitable for forming a resist pattern having dimensions of less than one micron. With a trend toward higher integration of semiconductor devices, the need to form patterns having down to submicron geometries has developed. This need has been partly filled by electron beams (EB) or X-ray lithography.
  • In electron beam lithography, an electron beam having an energy level of about 20 to 30 KeV (Kilo­electron-Volts) is applied to a resist layer having a thickness of about 1 micron formed on the semiconductor substrate. However, the electron beam still has enough energy even after it has penetrated through the resist layer for the electrons to collide with the substrate under the resist layer and further plunge thereinto. The plunging electrons lose their energy and forward and backward scattering of electrons occurs, so that the resist layer is subjected to extra exposure, especially by backwardly scattering electrons. Because the scattering directions of the electrons are isotropic, the adjacent portion to the pattern edge of the resist layer is influenced by the scattering electrons, even though this portion is not directly exposed to the primary electron beam. The indirect exposure to the scattering electrons causes deterioration of pattern precision or, in other words, deterioration of contrast or blurring of the pattern, similar to "out of focus" in photography.
  • Figs. 1(a) and 1(b) illustrate a cross-section showing how a negative type resist layer 1 formed on a semiconductor substrate 2 is exposed to an electron beam EB in a region having a width W and is developed. Hereinafter, a negative type electron beam resist will be referred to for brevity as a negative EB resist. After the resist layer 1 is developed, a pattern 1ʹ is obtained, which has a precise dimension W on the surface of the patterned resist layer and a width almost equal to the dimension of the pattern swept by the electron beam, but has a gradually and outwardly extending profile towards the surface of the substrate 2 due to the scattering electrons. When a positive EB resist layer 1 is used, the resist pattern 1ʹ formed is reversed as shown in Fig. 2.
  • In X-ray lithography, the X-rays collide with the substrate after penetrating through the resist layer, generating the emission of electrons (photons) from the substrate surface. Similarly the resist layer is exposed to the scattering photons, resulting in decrease of contrast or blurring of resist pattern as shown in Fig. 1(b) and Fig. 2, as explained for EB lithography. These phenomena are especially pronounced when SOR (Synchrotron Orbitary Radiation) is used as an X-ray source, particularly when a very short wavelength such as less than one Angstrom (0.1 nm) is utilised.
  • Several methods have been developed in the prior art to solve the above problem. One method is to control the accelerating voltage of the electron beam. When the electron beam accelerating voltage is increased, the electron penetrates more deeply into the substrate, and the backward scattering electrons from such a deep portion in the substrate have less energy and the effects on the resist layer are alleviated. The use of an electron beam of higher voltage is therefore preferable in order to obtain reduced pattern blurring.
  • Another method is to apply a multilayer resist system, wherein a plurality of resist layers are coated and laminated on the substrate, the upper resist layer being used as a sensitive layer to the electron beam and the lower resist layer having a comparatively larger thickness than that of the upper layer and being used as a buffer or absorbing layer for scattering electrons.
  • The above methods are common technology for both EB and X-ray lithography. There are still other methods of improvement, but it is always a problem to improve the resolution avoiding blurring in EB or X-ray lithography.
  • An embodiment of the invention provides a method of forming a resist pattern in which deterioration of resolution due to the backward scattering electrons or photons in the EB or X-ray lithography is avoided.
  • An embodiment of the invention also provides such a method which can be employed in the conventional apparatus used in EB or X-ray lithography and which consists of simple process steps.
  • In an embodiment of the invention: (1) an EB or X-­ray resist material which is mixed with ultraviolet radiation absorbing material is utilised; (2) the resist layer is selectively exposed to an EB or X-rays having an energy level below that used in the conventional EB or X-ray exposure for obtaining chemical reaction of the resist layer; and (3) the entire surface of the resist layer is further exposed to ultraviolet radiation having an energy level below the minimum quantity of irradiation necessary for the resist layer to show photo reaction.
  • The selectively exposed region of the resist layer receives both exposures of ultraviolet-radiation (abbreviated as UV radiation) and EB or X-rays enough for the resist material to show a chemical reaction such as a crosslinking reaction. However, in the region outside the selective exposure region a substantial part of the UV radiation is absorbed in the upper portion of the resist layer, especially near the surface thereof, and very little of the UV radiation can reach the boundary surface with the semiconductor substrate. The resist layer not exposed to the EB or X-rays does not receive enough energy to show the chemical reaction, even though it is subjected to a lot of backward scattering electrons. As a result, contrast or resolution is remarkably improved and the blurring phenomena of the resist pattern can be avoided.
  • The above method of the present invention is not restricted to a particular order of the UV radiation and EB/X-ray exposures. The UV radiation exposure can be applied before or after EB/X-ray exposure, and the same result can be achieved.
  • Embodiments of the invention will now be described in more detail with reference to the accompanying drawings, in which:
    • Figs. 1(a) and 1(b) are cross-sections illustrating a prior art method in which a negative type resist layer is formed on substrate and is exposed to an EB over a region having a width W and is then developed;
    • Fig. 2 is a cross-section illustrating a prior art method in which a positive EB resist layer is formed, exposed and developed instead of the negative EB resist layer used in Fig. 1, resulting in the formation of a reversed pattern from that shown in Fig. 1(b);
    • Fig. 3 shows a typical relation between the thickness of the residual resist layer after development and the total electron charge density applied during the EB exposure, using the resist material of the present invention;
    • Fig. 4 also shows a typical relation between the thickness of the residual resist layer after development and the exposure period by a conventional UV radiation source, using the same resist material as that of Fig. 3; and
    • Fig. 5 shows a schematic cross-section of three resist patterns, of which pattern sample 1 is formed utilising the method of the present invention, and pattern samples 2 and 3 are formed utilising the resist material of the prior art, as described below.
  • Fig. 3 shows a relation between the thickness of the residual resist layer and the total electron charge density applied during the EB exposure, when the resist layer is formed utilising a negative EB resist material mixed with an UV radiation absorbing material and is exposed to an EB and then developed. Fig. 3 shows a typical curve for the purpose of illustrating generally the characteristics of the negative resist material of the present invention. As can be seen from Fig. 3, a total charge density greater than Fth is necessary for at least part of the resist layer to remain after the exposure and development steps, or, in other words, for the resist material partly to show the chemical reaction. In practice, a total charge density Fa is used.
  • The resist material described shows a similar reaction characteristic when exposed to UV radiation. Fig. 4 shows a typical curve of the measured data. In this Figure, the abscissa shows the irradiation period during exposure using a conventional UV radiation source. The curve shows a similar tendency to that shown in Fig. 3; however in Fig. 4, Tth denotes the minimum duration for the resist layer to remain at least in part after the development. In order to obtain the conventional thickness of resist layer, the duration Ta is necessary for the exposure process using the UV radiation alone.
  • In the EB exposure process, the value of Fth is little affected by changing the accelerating voltage. When the accelerating voltage is increased, the curve is shifted to the left as shown by the dashed curve (a) in Fig. 3. In carrying out the present invention, the total charge density of EB irradiation onto the exposure area, using a constant accelerating voltage E KEV, is controlled in such a way that the quantity of backward scattering electrons to the nearby portion of the resist layer outside the exposure area is reduced to a level less than Fth. In this case, in other words, the total charge density on to the exposed area usually becomes less than Fa, and there is therefore not enough charge density for the entire thickness of the resist layer to undergo sufficient chemical reaction for the resist to remain after development.
  • In the present invention, this shortage of electron charge is supplemented by application of the UV radiation on to the entire surface of the resist layer without a mask. The duration of irradiation in the supplementary UV radiation exposure is shorter than Tth which is shown in Fig. 4. Therefore the resist layer, which has not been subjected to the previous EB described above or is not subsequently given another exposure, does not show any reaction in lithography processes.
  • In the case of X-ray exposure, the X-ray resist has similar characteristics to those described with regard to the EB resist, and therefor a similar curve to that shown in Fig. 3 can be obtained except that the abscissa is replaced by the total X-ray irradiation density. The exposure processes are almost the same as those explained above except that the EB exposure is replaced by the X-ray exposure.
  • (1) Embodiment 1
  • Chloromethylated polystyrene (abbreviated as CMS) was used as the negative EB resist, and p-azido acetophenone was used as the UV-ray absorbing material. A xylene solution containing 20 to 30 weight percent of CMS and 10 weight percent of p-azido acetophenone was used for coating the resist material. The resist material was coated on the substrate with a thickness of 1.2 µm by a spin-coating method and dried for 20 minutes at 80.C.
  • The substrate was then subjected to deep UV radiation exposure from a 500 watt Xe-Hg lamp using an exposure apparatus model PLA-500F made by CANON. The entire surface of the resist layer was exposed to the UV radiation without a mask, and the period of exposure was chosen to be 0.5 to 1 seconds, corresponding to a value lower than Tth in Fig. 4, and the exposure was controlled by an aluminium mirror. Thereafter, the resist layer was selectively exposed to a 20 KeV electron beam by sweeping the EB, thereby forming the pattern. The total charge density of the EB was maintained as about 2 × 10⁻⁵ coul/cm². For convenience, the pattern obtained by this method, after development, is called pattern sample 1.
  • For the purpose of comparison, a resist solution containing only CMS, the material generally used in the prior art, was provided and coated on the substrate in the same way. As a first comparison test, the substrate was subjected to the EB exposure only, the total charge density being maintained at 3 x10⁻⁵ coul/cm² using the same accelerating voltage as for pattern sample 1. This sample after development is called pattern sample 2.
  • Next, using the same resist solution of CMS, the resist layer was coated on another substrate. This substrate was exposed first to the deep UV radiation and thereafter to the EB exposure under the same conditions as those used for pattern sample 1. This pattern sample after development is called pattern sample 3.
  • Cross-sections of the above three pattern samples are shown schematically in Fig. 5. Pattern samples 2 and 3 have lower slopes extending outwardly, and the width W thereof on the substrate surface is increased by about 0.3 µm: this deformation causes reduction of contrast or blurring of formed patterns in lithography. Moreover the thickness of pattern sample 3 is reduced to 0.7 µm, in contrast to a normal thickness of greater than 1.0 µm of pattern samples 1 and 2. This shows that in pattern sample 3 the total energy of the UV radiation and EB exposures is insufficient.
  • Comparing the three methods of forming resist patterns, the method applied for pattern sample 1, in which the new resist material and the exposure method according to the present invention are used, shows the most satisfactory shape for obtaining high resolution.
  • (2) Embodiment 2
  • As the UV radiation absorbing material, p-azido benzoic acid was used mixed with chloromethylated polystyrene (CMS). A solution of these materials was utilised in resist coating, and the resist layer formed on the substrate was processed and exposed under the same conditions as in Embodiment 1. After comparison tests, almost the same results were obtained as pattern sample 1 in Embodiment 1. This shows that CMS resist material mixed with p-azido benzoic acid as the UV radiation absorbing material is effective in obtaining high resolution.
  • (3) Embodiment 3
  • In the same way as Embodiment 2, CMS resist material mixed with 3-sulfonylazido benzoic acid was utilised, instead of p-azido benzoic acid in Embodiment 2. The same comparison tests were performed using this resist material. Test results shown that 3-sulfonylazido benzoic acid is also effective as the UV radiation absorbing material mixed with the CMS resist.
  • (4) Embodiment 4
  • As the negative EB resist material, polydiarylorthophthalate (abbreviated as PDOP) was used. P-azido acetophenone was used as the UV radiation absorbing material mixed with PDOP. A solution of these materials was utilised as the coating material for forming the resist layer. The same tests as applied in the above Embodiments were carried out, and as a result, it was found that p-azido acetophenone mixed with PDOP is also an effective resist material for obtaining high resolution.
  • (5) Embodiment 5
  • As the positive EB resist, polymethyl methacrylate (abbreviated as PMMA) was used. P-azido acetophenone, as the UV radiation absorbing material, was mixed with PMMA and this combination of these two materials proved to be effective for obtaining high resolution.
  • (6) Embodiment 6
  • In this embodiment, tests were performed using the same resist material and the same exposure conditions as those utilised in obtaining pattern sample 1 in Embodiment 1 except that the substrate was inclined to the incident UV radiation at an angle of 30 to 70 degrees. The increase in the pattern width W was further reduced, resulting in further improvement in resolution. This tilting of the substrate during exposure is effective even in the case of obtaining pattern samples 2 or 3, in which resist material of the prior art is utilised, comprising no UV radiation absorbing material.
  • (7) Embodiment 7
  • Further tests were performed employing X-rays instead of an EB, using the same resist material used in the above Embodiments. The X-rays were irradiated on to the resist layer through an X-ray mask. As an X-­ray source, an electron beam excited (20 KeV accelerating voltage) source for generating Al-K alpha radiation was utilised. Although an electron beam excited X-ray source was used in this Embodiment, SOR can also be utilised as another X-ray source. The irradiation energy on to the resist layer was 500 mJ/cm². Conditions for the UV radiation exposure were the same as those applied in Embodiment 1. Very similar results were obtained in improving the resolution.
  • (8) Embodiment 8
  • When UV radiation longer than 280 nm in wavelength is filtered out in the UV radiation exposure process in Embodiment 1, the increase of pattern width is further reduced, resulting in improvement of contrast and resolution.
  • The resist material such as CMS, PDOP or PMMA used in the above Embodiments has sensitivity in the deep UV wavelength region of shorter than 300 nm. Therefore, material such as p-azido acetophenone, p-azido benzoic acid, or 3-sulfonylazido benzoic acid, each having a large absorption coefficient in the 200 to 300 nm wavelength region, was utilised as UV radiation absorbing material mixed with the above described resist materials.
  • When the EB or X-ray resist material having sensitivity near 365 nm or 436 nm wavelength, for example, OMR-83 or OFPR of TOKYO OUKA KOGYO manufacture, is used, a UV radiation exposure having a peak energy distribution around the above wavelength is very effective and good results can be obtained.
  • In all the Embodiments disclosed above, the EB or X-ray exposure is performed after the exposure of UV radiation on to the entire substrate surface. However, the order of exposure can be reversed so that the resist layer is first exposed selectively to the EB or X-rays and is subsequently exposed to the UV radiation on its entire surface. It has been confirmed that the same results can be obtained in respect of improvements in resolution.
  • The present invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The presently disclosed embodiments are therefore to be considered in all respects as illustrative and not restrictive, the scope of the invention being indicated by the appended claims, rather than the foregoing description, and all changes which come within the meaning and range of equivalence of the claims are, therefore, to be embraced therein.

Claims (10)

1. A method of forming a resist pattern on a substrate, said method comprising the steps of:
      providing a mixed solution of electron beam/X-ray sensitive resist material and ultraviolet radiation absorbing material,
      coating said mixed solution and forming a resist layer on the substrate,
      selectively exposing said resist layer to electron-beam/X-rays with such a total irradiation density that the nearby resist region outside said selective exposure region is maintained below a level at which a reaction thereof is induced by backward scattering electrons, and
      exposing the entire surface of said resist layer to ultraviolet radiation for a period shorter than the minimum duration necessary for inducing a reaction thereof when said resist layer is exposed solely to the ultraviolet radiation.
2. A method of forming a resist pattern on a substrate according to claim 1, wherein said resist layer is first exposed to electron-beam/X-rays and subsequently exposed to ultraviolet radiation.
3. A method of forming a resist pattern on a substrate according to claim 1, wherein said resist layer is first exposed to ultraviolet radiation and subsequently exposed to electron-beam/X-rays.
4. A method of forming a resist pattern on a substrate according to any preceding claim, wherein p-azido acetophenone is used as said ultraviolet radiation absorbing material and chloromethylated polystyrene (CMS) is used as said resist material.
5. A method of forming a resist pattern on a substrate according to any one of claims 1 to 3, wherein p-azido benzoic acid is used as said ultraviolet radiation absorbing material and chloromethylated polystyrene (CMS) is used as said resist material.
6. A method of forming a resist pattern on a substrate according to any one of claims 1 to 3, wherein 3-sulfonylazido benzoic acid is used as said ultraviolet radiation absorbing material and chloromethylated polystyrene (CMS) is used as said resist material.
7. A method of forming a resist pattern on a substrate according to any one of claims 1 to 3, wherein p-azido acetophenone is used as said ultraviolet radiation absorbing material and polydiarylorthophthalate (PDOP) is used as said resist material.
8. A method of forming a resist pattern on a substrate according to any one of claims 1 to 3, wherein p-azido acetophenone is used as said ultraviolet radiation absorbing material and polymethyl methacrylate (PMMA) is used as said resist material.
9. A method of forming a resist pattern on a substrate according to any preceding claim, wherein the substrate is inclined to the incident ultraviolet radiation during said step of exposure to ultraviolet radiation.
10. A method of forming a resist pattern on a substrate according to any one of claims 4 to 9, wherein the ultraviolet radiation used in said exposure step is filtered to exclude ultraviolet radiation longer than 280 nm in wavelength.
EP88100606A 1987-01-27 1988-01-18 Method of forming a fine resist pattern in electron beam or x-ray lithography Expired - Lifetime EP0276717B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP16727/87 1987-01-27
JP62016727A JPS63185022A (en) 1987-01-27 1987-01-27 Forming method for pattern

Publications (3)

Publication Number Publication Date
EP0276717A2 true EP0276717A2 (en) 1988-08-03
EP0276717A3 EP0276717A3 (en) 1990-11-14
EP0276717B1 EP0276717B1 (en) 1995-03-15

Family

ID=11924295

Family Applications (1)

Application Number Title Priority Date Filing Date
EP88100606A Expired - Lifetime EP0276717B1 (en) 1987-01-27 1988-01-18 Method of forming a fine resist pattern in electron beam or x-ray lithography

Country Status (5)

Country Link
US (1) US5104772A (en)
EP (1) EP0276717B1 (en)
JP (1) JPS63185022A (en)
KR (1) KR910007315B1 (en)
DE (1) DE3853305T2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007010035A1 (en) 2007-03-01 2008-09-04 Voith Patent Gmbh Method for preparing calcium compounds especially for paper and cardboard recycling by adding carbon dioxide to the aqueous suspension
WO2017144398A1 (en) * 2016-02-25 2017-08-31 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for electronic lithography with electrostatic screening

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4024275A1 (en) * 1990-07-31 1992-02-06 Kernforschungsz Karlsruhe METHOD FOR THE PRODUCTION OF MICROSTRUCTURES WITH AREAS OF DIFFERENT STRUCTURAL HEIGHT
US5393634A (en) * 1993-05-27 1995-02-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Continuous phase and amplitude holographic elements
US5789140A (en) * 1996-04-25 1998-08-04 Fujitsu Limited Method of forming a pattern or via structure utilizing supplemental electron beam exposure and development to remove image residue
JPH11162844A (en) * 1997-09-25 1999-06-18 Toshiba Corp Pattern formation
US6528934B1 (en) 2000-05-30 2003-03-04 Chunghwa Picture Tubes Ltd. Beam forming region for electron gun
AU2003217542A1 (en) * 2002-02-15 2003-09-09 Janusz Murakowski Process for making photonic crystal circuits using an electron beam and ultraviolet lithography combination

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2927242A1 (en) * 1979-07-05 1981-01-08 Siemens Ag Lithographic process for lacquered semiconductor - applies radiation of two types in first even stage followed by longer stage
US4298803A (en) * 1979-01-19 1981-11-03 Matsushita Electric Industrial Co., Ltd. Process and apparatus for making fine-scale patterns
EP0209152A2 (en) * 1985-07-18 1987-01-21 MicroSi, Inc. (a Delaware corporation) Pre-exposure method for increased sensitivity in high contrast resist development

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4056393A (en) * 1974-09-26 1977-11-01 American Can Company Method of recording information using a copolymer of glycidyl methacrylate and allyl glycidyl ether
EP0037708B1 (en) * 1980-04-02 1986-07-30 Hitachi, Ltd. Method of forming patterns
JPS56140345A (en) * 1980-04-02 1981-11-02 Hitachi Ltd Formation of pattern
JPS56164531A (en) * 1980-05-21 1981-12-17 Hitachi Ltd Manufacture of semiconductor
US4508813A (en) * 1980-06-16 1985-04-02 Fujitsu Limited Method for producing negative resist images
JPS57102018A (en) * 1980-12-17 1982-06-24 Mitsubishi Electric Corp Pattern correction
JPS5877230A (en) * 1981-11-04 1983-05-10 Hitachi Ltd Pattern formation
JPS58200534A (en) * 1982-05-19 1983-11-22 Hitachi Ltd Forming method for pattern
US4552831A (en) * 1984-02-06 1985-11-12 International Business Machines Corporation Fabrication method for controlled via hole process
JPS612326A (en) * 1984-06-14 1986-01-08 Toshiba Corp Formation of resist pattern
JPS61141133A (en) * 1984-12-13 1986-06-28 Nec Corp Formation of fine pattern
EP0195106B1 (en) * 1985-03-22 1989-06-21 Ibm Deutschland Gmbh Lift-off mask production process and use of the mask
JPS62160981A (en) * 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd Reconstruction method for oil tanker
JPS6221151A (en) * 1985-07-19 1987-01-29 Matsushita Electric Ind Co Ltd Formation of pattern
JPS6378523A (en) * 1986-09-22 1988-04-08 Hitachi Ltd Formation of pattern
JPH0715891B2 (en) * 1989-08-14 1995-02-22 大同ほくさん株式会社 Method for forming polycrystalline silicon film on wafer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4298803A (en) * 1979-01-19 1981-11-03 Matsushita Electric Industrial Co., Ltd. Process and apparatus for making fine-scale patterns
DE2927242A1 (en) * 1979-07-05 1981-01-08 Siemens Ag Lithographic process for lacquered semiconductor - applies radiation of two types in first even stage followed by longer stage
EP0209152A2 (en) * 1985-07-18 1987-01-21 MicroSi, Inc. (a Delaware corporation) Pre-exposure method for increased sensitivity in high contrast resist development

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
IBM TECHNICAL DISCLOSURE BULLETIN, vol. 24, no. 11A, April 1982, page 5549, New York, US; G.E. HENDERSON et al.: "Profile improvement in E-beam-exposed resist patterns" *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007010035A1 (en) 2007-03-01 2008-09-04 Voith Patent Gmbh Method for preparing calcium compounds especially for paper and cardboard recycling by adding carbon dioxide to the aqueous suspension
WO2017144398A1 (en) * 2016-02-25 2017-08-31 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for electronic lithography with electrostatic screening
FR3048292A1 (en) * 2016-02-25 2017-09-01 Commissariat Energie Atomique ELECTRONIC LITHOGRAPHY METHOD WITH ELECTROSTATIC SCRATCHING

Also Published As

Publication number Publication date
DE3853305D1 (en) 1995-04-20
EP0276717A3 (en) 1990-11-14
US5104772A (en) 1992-04-14
JPS63185022A (en) 1988-07-30
JPH0551169B2 (en) 1993-07-30
KR880009292A (en) 1988-09-14
EP0276717B1 (en) 1995-03-15
DE3853305T2 (en) 1995-08-03
KR910007315B1 (en) 1991-09-24

Similar Documents

Publication Publication Date Title
US4931380A (en) Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
US4298803A (en) Process and apparatus for making fine-scale patterns
EP0158357B1 (en) Method of forming resist micropattern
US5258266A (en) Method of forming minute patterns using positive chemically amplifying type resist
EP0276717B1 (en) Method of forming a fine resist pattern in electron beam or x-ray lithography
US5266424A (en) Method of forming pattern and method of manufacturing photomask using such method
US5158861A (en) Method of forming minute patterns using chemically amplifying type resist
US5212028A (en) Fabrication of fine patterns by selective surface reaction and inspection method therefor
EP0348962B1 (en) Fine pattern forming method
EP0379173B1 (en) Energy beam lithography resist materials
US4088896A (en) Actinic radiation emissive pattern defining masks for fine line lithography and lithography utilizing such masks
JP3081655B2 (en) Method of forming resist pattern
US6641979B2 (en) Technique of exposing a resist using electron beams having different accelerating voltages
JPS5918637A (en) Method of forming image pattern
US4508813A (en) Method for producing negative resist images
EP0209152B1 (en) Pre-exposure method for increased sensitivity in high contrast resist development
Bijkerk et al. Laser plasma as x-ray source for lithographic imaging of submicron structures onto experimental x-ray resist
US4981771A (en) Pattern fabricating method
JPH05136026A (en) Pattern forming method
US6740459B2 (en) Method of designing photosensitive composition and lithography process
JPH0954438A (en) Photoresist pattern and its forming method
KR100208321B1 (en) Pattern forming method
JPH0147009B2 (en)
JPH0312452B2 (en)
JPH06101422B2 (en) Resist pattern formation method

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): DE FR GB

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): DE FR GB

RHK1 Main classification (correction)

Ipc: G03F 7/20

17P Request for examination filed

Effective date: 19901228

17Q First examination report despatched

Effective date: 19930601

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): DE FR GB

REF Corresponds to:

Ref document number: 3853305

Country of ref document: DE

Date of ref document: 19950420

ET Fr: translation filed
PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed
PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Effective date: 19961001

REG Reference to a national code

Ref country code: GB

Ref legal event code: IF02

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: FR

Payment date: 20020110

Year of fee payment: 15

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: GB

Payment date: 20020116

Year of fee payment: 15

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20030118

GBPC Gb: european patent ceased through non-payment of renewal fee
PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20030930

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST