DE69129885T2 - Verfahren zur Ablagerung von Silicium-Dioxid - Google Patents
Verfahren zur Ablagerung von Silicium-DioxidInfo
- Publication number
- DE69129885T2 DE69129885T2 DE69129885T DE69129885T DE69129885T2 DE 69129885 T2 DE69129885 T2 DE 69129885T2 DE 69129885 T DE69129885 T DE 69129885T DE 69129885 T DE69129885 T DE 69129885T DE 69129885 T2 DE69129885 T2 DE 69129885T2
- Authority
- DE
- Germany
- Prior art keywords
- layer
- silicon dioxide
- substrate
- deposition
- magnetic field
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 title claims description 148
- 235000012239 silicon dioxide Nutrition 0.000 title claims description 74
- 239000000377 silicon dioxide Substances 0.000 title claims description 73
- 230000008021 deposition Effects 0.000 title claims description 46
- 238000000034 method Methods 0.000 title claims description 25
- 238000000151 deposition Methods 0.000 claims description 55
- 239000000758 substrate Substances 0.000 claims description 18
- 238000004544 sputter deposition Methods 0.000 claims description 17
- 239000007789 gas Substances 0.000 claims description 14
- 230000015572 biosynthetic process Effects 0.000 claims description 10
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 claims description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 9
- 229910052710 silicon Inorganic materials 0.000 claims description 9
- 239000010703 silicon Substances 0.000 claims description 9
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 7
- 239000001301 oxygen Substances 0.000 claims description 7
- 229910052760 oxygen Inorganic materials 0.000 claims description 7
- 238000004519 manufacturing process Methods 0.000 claims description 6
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 5
- 239000000203 mixture Substances 0.000 claims description 4
- 229910000077 silane Inorganic materials 0.000 claims description 4
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 claims description 2
- 239000011261 inert gas Substances 0.000 claims 3
- 239000000470 constituent Substances 0.000 claims 1
- 239000004020 conductor Substances 0.000 description 36
- 235000012431 wafers Nutrition 0.000 description 28
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- 239000000463 material Substances 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 230000003749 cleanliness Effects 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000000992 sputter etching Methods 0.000 description 4
- 238000013459 approach Methods 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 230000008018 melting Effects 0.000 description 2
- 238000002844 melting Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 230000001154 acute effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 230000004927 fusion Effects 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76819—Smoothing of the dielectric
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Physical Vapour Deposition (AREA)
- ing And Chemical Polishing (AREA)
- Drying Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
Description
- Die vorliegende Erfindung betrifft Verfahren zur Ablagerung von Siliziumdioxid und insbesondere Verfahren zur Ablagerung von Siliziumdioxid über Leitern einer integrierten Schaltung mit relativ großem Geometrieverhältnis.
- Die Technik der integrierten Schaltungen war bisher durch eine fortwährende Zunahme der Dichte&sub1; mit der Bauelemente in einem Silizium-Halbleiterchip oder -substrat ausgebildet werden können, gekennzeichnet. Die Verbindung solcher hochintegrierter Bauelemente erfordert die Ausbildung von Leitern auf der Chipoberfläche, die extrem klein sind und dicht beieinander liegen, und leitfähige Strukturen, die sich überlappen, oder, in der Fachsprache ausgedrückt, auf verschiedenen Leiterniveaus vertikal beabstandet sind. Die Verwendung von zwei oder mehr Niveaus von Leitern erfordert eine Ablagerung einer verläßlichen Isolation, wie zum Beispiel Siliziumdioxid, auf dem untersten oder ersten Niveau von Leitern, so daß das darüberliegende obere Niveau bzw. das zweite Niveau ohne Gefahr von versehentlichen Kurzschlüssen oder anderen leitfähigen Anomalien hergestellt werden kann.
- Ein bevorzugtes Verfahren zur Ablagerung von Siliziumdioxid ist als plasmaverstärkte chemische Aufdampfung (PECVD - plasma enhanced chemical vapor deposition) bekannt, bei der ein hochfreauentes Plasma einen Siliziumbestandteil und einen Sauerstoffbestandteil enthält. Durch das Plasma wird Energie zur Verstärkung der für die Ausbildung von Siliziumdioxid bei Temperaturen unterhalb des Schmelzpunkts des ersten Niveaus von Leitern, über dem die Ablagerung erfolgt, erforderlichen Reaktion bereitgestellt. Es sollte beachtet werden, daß auch andere Oxide, wie zum Beispiel Siliziummonoxid, durch diesen Prozeß abgelagert werden können. Das vorherrschende abgelagerte Material ist aber Siliziumdioxid, und dieser Ausdruck soll hier verwendet werden. Außerdem erfolgt die Ablagerung auf einem "Substrat", das ein Teil des Halbleiters, der Metalleiter oder von bereits abgelagertem oder aufgewachsenem Siliziumdioxid sein kann.
- Mit zunehmender Schaltungsdichte nimmt das Verhältnis der Höhe benachbarter Leiter zu ihrem Trennungsabstand, das als das Geometrieverhältnis der Struktur bekannt ist, ebenfalls zu. Wenn die Dicke des abgelagerten Siliziumdioxids größer als die Hälfte des Abstands zwischen benachbarten Leitern ist, dann ist wahrscheinlich, daß sich das auf benachbarten vertikalen Wänden benachbarter Leiter abgelagerte Siliziumdioxid trifft und eine Diskontinuität in dem abgelagerten Siliziumdioxid verursacht. Wenn die Abdeckung der oberen Ecke etwas größer als die Abdeckung des Rests der vertikalen Seitenwände ist, dann können sich die Ecken zuerst treffen und somit in dem abgelagerten Siliziumdioxid zwischen benachbarten Leitern eine Lücke erzeugen. Dieses Problem wird mit zunehmendem Geometrieverhältnis dringlicher.
- Eine Lösung des Problems ist die Verwendung von Elektronen-Zyklotronresonanz (ECR), so wie es zum Beispiel in der Arbeit "SiO&sub2; Planarization Technology with Biasing and Electron Cyclotron Resonance Plasma Deposition for Submicron Interconnections", Katsuyuki Machida et al., Journal of Vacuum Science Technology B4 (4), Juli/August 1986, Seiten 818-821, beschrieben wird. In einer mit der Ablagerungskammer verbundenen Kammer baut die Kombination aus einer angelegten Mikrowellenfrequenz und einem Magnetfeld eine Zyklotronresonanz von Ionen auf, die in die Ablagerungskammer geleitet werden und bewirken, daß sich Siliziumdioxid ablagert. Eine zusätzliche Wafer- HF-Vorspannung in der Ablagerungskammer bewirkt, daß sich das Siliziumdioxid sputterätzt, was zu der Ablagerung unter verschiedenen Winkeln auf dem Substrat führt, um so das Problem durch das Verschmelzen vertikaler Wände verursachter Lücken zu vermindern. Ein Problem bei diesem Ansatz ist, daß er die Entwicklung neuer Geräte erfordert, daß es schwerer ist, die für die Chipherstellung erforderlichen Standards der Ultrareinheit aufrechtzuerhalten, und daß die Notwendigkeit von Mikrowellen und einer separaten ECR- Kammer die Kosten der Geräte für die Herstellung verkomplizieren und erhöhen.
- Die Arbeit "Sidewall-Tapered Oxide by Plasma- Enhanced Chemical Vapor Deposition", G.C. Smith et al., Journal of Electrochemical Society: Solid-State Science and Technology, Band 132, Nr. 11, November 1985, Seiten 2721-2725, beschreibt einen weiteren Ansatz, bei dem gleichzeitig mit einer Siliziumdioxidablagerung Sputtern herbeigeführt wird. Dies führt zu V-förmigen Seitenwänden des abgelagerten Siliziumdioxids, anstelle von vertikalen Seitenwänden, die verschmelzen und dadurch Lücken erzeugen. Ein Problem bei diesem Ansatz ist die relativ lange Zeitdauer, die zur Ablagerung einer nutzbaren Schicht aus Siliziumdioxid über einer Leiterstruktur mit relativ großem Geometrieverhältnis erforderlich ist.
- Es besteht deshalb ein fortwährender Bedarf in der Industrie für ein Verfahren zur Ablagerung verläßlicher Schichten aus Siliziumdioxid über Leiterstrukturen mit relativ großem Geometrieverhältnis auf eine Weise, die für die Massenproduktion geeignet ist, Reinlichkeitsanforderungen entspricht und die Herstellungskosten nicht sehr vergrößert.
- Die EP-A-173 583 beschreibt eine Gasplasmaentladungsvorrichtung zur Verwendung bei der Ablagerung von Siliziumdioxid in einem Filmablagerungsprozeß und beschreibt außerdem die Verwendung eines Magnetfelds zur Unterstützung des Prozesses. Das Magnetfeld erhöht die Siliziumdioxid- Ablagerungsgeschwindkeit.
- Die WO-A-85 04 623 beschreibt ebenfalls ein Siliziumdioxidablagerungssystem, bei dem eine erste Schicht Siliziumdioxid abgelagert wird. Die obere Oberfläche dieser Schicht wird geätzt, um Unregelmäßigkeiten zu vermindern; danach wird über der ersten Schicht eine zweite Schicht Siliziumdioxid abgelagert.
- In Thin solid Films, Band 181, Nr. 1, (12- 1989), Seiten 75 bis 84, wird das Problem von in einer abgelagerten Siliziumdioxidschicht angetroffenen Lücken und Brüchen besprochen. Es wird vorgeschlagen, das Problem durch einen dreistufigen Prozeß zu lösen, bei dem abgelagert, geätzt und weiter abgelagert wird.
- Gemäß der vorliegenden Erfindung wird ein Verfahren nach Anspruch 1 bereitgestellt.
- Im Handel sind Geräte zum Sputterätzen eines Wafers in einer Kammer mit nachfolgender Überführung in eine weitere Kammer zur Siliziumdioxidablagerung erhältlich. Der Verfasser hat festgestellt, daß solche Geräte mit praktischen Modifikationen zweckmäßigerweise zum Ablagern von Siliziumdioxid über Leitern mit großem Geometrieverhältnis eingesetzt werden können. In der modifizierten Sputter-Ätzkammer wird ein HF-Plasma zur Ablagerung einer Siliziumdioxidschicht gebildet. Ein Magnetfeld erstreckt sich durch den Wafer und das Plasma hindurch in einer zu dem HF-Feld allgemein queren Richtung. Es tritt eine gleichzeitige Sputterätzung und Ablagerung ein, was die Netto- Ablagerung auf den Ecken der Leiter der ersten Ebene hemmt; dies führt zu einer allgemein V-förmigen Oberfläche für das abgelagerte Siliziumdioxid zwischen benachbarten Leitern. Dies könnte die gesamte Ablagerung ausmachen; es wird aber vorzugsweise das Substrat entfernt und in die herkömmliche Ablagerungskammer transferiert. Dort wird über der vorher abgelagerten Schicht eine allgemein konforme zweite Siliziumdioxidschicht ausgebildet. Aufgrund der Konfiguration der ersten Siliziumdioxidschicht können aber keine Probleme durch Lücken oder andere Diskontinuitäten auftreten. Danach wird die obere Oberfläche planarisiert und die zweite Leiterebene abgelagert.
- Dieses kurz beschriebene Verfahren ergibt eine zuverlässige Siliziumdioxid-Isolationsschicht auf eine Weise, die für die Massenproduktion und die Handhabung durch Durchschnittstechniker geeignet ist. Es werden keine Reinlichkeitsprobleme eingeführt, weil die verwendeten Geräte größtenteils handelsübliche Geräte sind, die so ausgelegt sind, daß sie modernen Standards der Reinlichkeit entsprechen. Aus der ausführlichen Beschreibung wird klarwerden, daß bei den zur Erlangung der dielektrischen Siliziumdioxidschichten verwendeten Geräten oder Verfahren nur wenig zusätzliche Kosten entstehen. Diese und andere Aufgaben, Merkmale und Vorteile der Erfindung werden durch eine Durchsicht der folgenden ausführlichen Beschreibung in Verbindung mit der beigefügten Zeichnung besser verständlich.
- FIG. 1 ist eine schematische Ansicht von Siliziumdioxid, das gemäß dem Stand der Technik über Metalleitern abgelagert wird;
- FIG. 2, 3 und 4 sind schematische Ansichten, die die aufeinanderfolgenden Schritte der Ausbildung eines Siliziumdioxiddielektrikums gemäß einer beispielhaften Ausführungsform der Erfindung darstellen;
- FIG. 5 ist eine schematische Ansicht bestimmter handelsüblicher Geräte, die für die erfindungsgemäße Verwendung modifiziert werden können;
- FIG. 6 ist eine schematische Ansicht einer modifizierten Reaktionskammer in den Vorrichtungen von FIG. 5;
- FIG. 7 ist eine entlang der Linie 7-7 von FIG. 6 genommene Ansicht; und
- FIG. 8 ist eine schematische Ansicht einer weiteren Reaktionskammer der Vorrichtungen von FIG. 5.
- FIG. 1 zeigt schematisch die gemäß dem Stand der Technik erfolgende Ablagerung von Siliziumdioxid 11 auf einem Substrat 12, die das Problem verursacht, an das sich die Erfindung wendet. Auf der oberen Oberfläche des Substrats 12 befinden sich Leiter 13, die als Beispiel die erste Leiterebene einer integrierten Schaltung ausmachen. Das Geometrieverhältnis der Leiter ist als das Verhältnis der Höhe der vertikalen Seitenwände 14 der Leiter zu dem Trennungsabstand benachbarter vertikaler Seitenwände benachbarter Leiter definiert. Bei der herkömmlichen konf ormen Ablagerung führt ein großes Geometrieverhältnis dazu, daß das Siliziumdioxid auf benachbarten vertikalen Seitenwänden zusammenwächst. Die Ecken des abgelagerten Siliziumdioxids treffen sich wahrscheinlich zuerst, was zu Lücken 15 in der abgelagerten Siliziumdioxidschicht führt. Auch wenn keine Lücken gebildet werden, entstehen Diskontinuitäten 16, was die charakteristischen Ätzeigenschaften und die charakteristischen Isolationseigenschaften der Siliziumdioxidschicht nachteilig beeinflußt. Es ist einzusehen, daß sich bei zunehmendem Geometrieverhältnis die Probleme, die die konforme Siliziumdioxidablagerung begleiten, vergrößern.
- Bezugnehmend auf FIG. 2 wird das Siliziumdioxid erfindungsgemäß in zwei Schritten ausgebildet. Zunächst wird eine erste Siliziumdioxidschicht 18 ausgebildet, die zwischen benachbarten Leitern 13 wie gezeigt eine allgemein V- förmige Konfiguration aufweist. Anschließend wird, wie in FIG. 3 gezeigt, über der ersten Siliziumdioxidschicht eine zweite Siliziumdioxidschicht 19 ausgebildet, die allgemein konform ist und deshalb in ihrer oberen Oberfläche wie gezeigt eine Folge von V-Formen aufweist. FIG. 4 zeigt die Siliziumdioxidschicht planarisiert, um so die Ausbildung von Leitern 20 auf ihrer oberen Oberfläche zu ermöglichen, wodurch die zweite Leiterebene des herzustellenden integrierten Schaltungsbauelements gebildet wird.
- Ein wichtiger Aspekt des erfindungsgemäßen Verfahrens ist, daß es durch Einsatz handelsüblicher chemischer Aufdampfungsgeräte (CVD-Geräte) praktiziert werden kann. Insbesondere zeigt FIG. 5 schematisch Vorrichtungen, die als das "Precision 5000 System" bekannt sind, das im Handel von der Firma Applied Materials in Santa Clara, Kalifornien, erhältlich ist und für die Praktizierung der Erfindung verwendet werden kann. Das System enthält separate Kammern 22-25, die durch eine in der Mitte angeordnete Transferkammer 27 miteinander verbunden sind. Waferscheiben werden in eine Schleusenladekammer 26 eingeführt und dann durch einen Automatenarm, der sich in einer Transferkammer 27 befindet, zwischen verschiedenen Kammern transferiert. Diese einzelnen Kammern können für verschiedene an Halbleiterwaferscheiben ausgeführte Operationen verwendet werden, während hohe Reinlichkeitsstandards aufrechterhalten und die Übertragung von Verunreinigungen von einer Kammer zu einer anderen vermieden werden. Durch Verwendung separater Kammern zur Ablagerung der Schichten 18 und 19 (von FIG. 3) kann man die Parameter optimieren, um die größtmögliche Gesamt-Ablagerungsgeschwindigkeit zu erreichen oder, anders ausgedrückt, um die für den gesamten Prozeß benötigte Zeit so weit wie möglich zu reduzieren.
- FIG. 6 ist eine schematische Schnittansicht der Kammer 22 von FIG. 5, die zur Ablagerung der ersten Siliziumdioxidschicht 18 von FIG. 2 verwendet wird. Auf einer durch ein Anpassungsnetzwerk 32 hindurch mit einer Hochfreguenzquelle 33 verbundenen Elektrode 31 wird ein Wafer 30 angebracht. Eine mit Masse verbundene Elektrode 35, die dem Wafer 30 gegenüberliegt, bildet eine Gasquelle zur Bildung eines HF-Plasmas zwischen den Elektroden 35 und 31. Die mit Masse verbundene Elektrode 35 ist hohl und enthält eine Mehrzahl von Öffnungen, die die durch die Pfeile angedeutete Strömung von injiziertem Gas ermöglichen. Das Gas wird einer Argonquelle 36, einer Stickstoffoxidquelle 37 und einer Silanquelle 38 entnommen. Durch geeignete Ventile und Übertragungsleitungen wird es möglich, eine Mischung dieser Gase in den Bereich zwischen den Elektroden 35 und 31 einzuleiten.
- Wie in FIG. 7 zu sehen ist, befinden sich in einem Quadrat um die Kammer 22 herum angeordnet vier Spulen 40 bis 43. Die beabsichtigte Aufgabe der Kammer 22 als Teil des "Precision 5000 System" besteht darin, Material durch Sputterätzen von Waferscheiben zu entfernen, anstatt das Material auf Waferscheiben abzulagern. Zu diesem Zweck erzeugen die Spulen ein durch den Waf er hindurch und allgemein parallel zu der oberen Oberfläche des Wafers 30 verlaufendes Magnetfeld, und sie fördern das Sputterätzen durch Vergrößerung der Dichte auf der Waferoberfläche auf treffender Ionen. Die Spulen 42 und 43 sind zusammengeschaltet und erzeugen, wenn der sie durchfließende Strom maximal ist, ein Magnetfeld B&sub1;, das in FIG. 6 und 7 gezeigt ist. Die Verbindungsspulen und 41 erzeugen, wenn sie Strom bis auf den Maximalwert führen, ein Magnetfeld B&sub2;. Indem Strom mit niedriger Wechselfrequenz durch die Spulen geschickt wird, wobei der Strom durch die Spulen 42 und 43 um neunzig Grad von dem Strom durch die Spulen 40 und 41 verschoben ist, kann man ein Magnetfeld gleichförmiger Stärke B erzeugen, das sich in einer zu der oberen Oberfläche des Wafers 30 parallelen Ebene dreht.
- Vorzugsweise wird der Strom durch die Spulen so variiert, daß das Magnetfeld B eine konstante Gesamtstärke beibehält und sich mit einer Frequenz von einer Umdrehung alle zwei Sekunden dreht, obwohl auch eine Frequenz von sogar einhundert Umdrehungen pro Sekunde verwendet werden könnte.
- Vor der Ablagerung wird Argon in das System eingeführt, und es wird die HF-Leistung angelegt, um den Wafer 30 zu erhitzen. Danach wird Gas aus den Quellen 37 und 38 eingeführt. Stickstoffoxid, N&sub2;O, bildet eine Sauerstoffquelle, und Silan, SiH&sub4;, bildet eine Siliziumquelle, die beide umgesetzt werden, um gemäß den Prinzipien der PECVD Siliziumdioxid auf der oberen Oberfläche des Wafers abzulagern. Wie später erläutert wird, werden diese Gase so ausgewählt, daß sie sowohl das Sputtern aus der Oberfläche des Wafers 30 verbessern als auch Quellen für die Ablagerung von Siliziumdioxid auf den Wafer 30 bilden. Der Wafer 30 wird auf einer Elektrode 31 gehalten, die mit HF angesteuert wird und einen kleineren Flächeninhalt als die Elektrode 35 aufweist. Der Gesamtdruck in der Kammer 22 ist niedrig, und die Geschwindigkeit der Siliziumdioxidablagerung ist relativ niedrig. Zusammen mit dem Magnetfeld tendiert diese Wahl von Parametern dazu, das Sputtern zu verstärken.
- Wieder bezugnehmend auf FIG. 2 ist es bei den Vorrichtungen von FIG. 6 und 7 erwünscht, Siliziumdioxid 18 abzulagern, das eine obere Oberfläche 45 aufweist, die zwischen aufeinanderfolgenden Leitern 13 allgemein V-förmig ist. Dies wird erreicht, weil das Sputtern vorwiegend an den Ecken der Leiter 13 auftritt, was zu einer Netto-Dicke der Ablagerung führt, die an den Ecken am kleinsten ist. Somit wird effektiv mit einer Geschwindigkeit abgelagert, die in Bezug auf die Geschwindigkeit der Entfernung durch Sputtern ausgeglichen ist, um so an den Ecken der Leiter 13 eine minimale Netto-Ablagerung zu ergeben. Der Verfasser hat festgestellt, daß unter Verwendung von Parametern, die so ausgelegt sind, daß sie eine Sputtergeschwindigkeit von dreihundert Ångström pro Minute und eine Siliziumdioxidablagerungsgeschwindigkeit von eintausend Ångström pro Minute ergeben, sich eine Netto-Ablagerungsgeschwindigkeit von siebenhundert Ångström pro Minute ergibt und sich die in FIG. 2 gezeigte obere Oberfläche 45 ergibt. Die Drehung des Magnetfelds B verstärkt die Gleichförmigkeit der Ablagerung.
- Wieder bezugnehmend auf FIG. 5 wird nach dem Abschluß der Siliziumdioxidablagerung in der Kammer 22 der Wafer zu der Transferkammer 27 überführt und dann zu der Kammer 23 gesendet, die schematisch in FIG. 8 gezeigt ist. In der Kammer 23 wird statt der unteren Elektrode die obere Elektrode 47 durch eine HF-Quelle 48 angesteuert (das Anpassungsnetzwerk ist der Kürze halber nicht gezeigt). Der Wafer 30 hin ruht auf einer mit Masse verbundenen Elektrode 49. Wie zuvor werden Gase durch die Elektrode 47 hindurch zu dem Wafer 30 hin geleitet, wobei aber andere Gaszusammensetzungen verwendet werden. Der Siliziumbestandteil des Siliziumdioxids wird aus gasförmigem Tetraethoxysilan (TEOS) gewonnen, das aus einer Quelle erhitzter Flüssigkeit 51 abgeleitet wird. Typischerweise ist TEOS im Handel als eine Flüssigkeit erhältlich, und man erhält eine verdampfte Form durch Hindurchperlen von Hehum aus einer Quelle 52 durch das flüssige TEOS und durch Ableiten verdampfter Moleküle aus dem TEOS- Behälter auf die schematisch angedeutete Weise. Außerdem enthält die Plasmaatmosphäre reines Sauerstoffgas aus der Quelle 53. Verschiedene bekannte Ventile und Abmeßgeräte sind der Kürze halber nicht gezeigt.
- Die untere Elektrode 49 wird durch eine Mehrzahl von Lampen 55 erhitzt, die Licht durch ein Quarzfenster 56 hindurch leiten, um so auf eine Aluminiumoxidschicht 57 der Elektrode 49 zu treffen. Dies erhitzt den Wafer auf eine Temperatur, die unter dem Schmelzpunkt der auf dessen Oberfläche enthaltenen Metalleiter liegt.
- In der Konfiguration der Kammer 23 werden die Gaszusammensetzung der Plasmaatmosphäre und die verschiedenen anderen Parameter so gewählt, daß die Siliziumdioxidablagerungsgeschwindigkeit maximiert wird. Bezugnehmend auf FIG. 3 ergibt dieser Prozeß eine konf orme Beschichtung 19 mit einer oberen Oberfläche 59, die allgemein der oberen Oberfläche 45 der Siliziumdioxidschicht 18 von FIG. 2 entspricht. Es ist einzusehen, daß es mit dem sich mit einer allgemein auf allen Oberflächen gleichen Geschwindigkeit ablagernden Siliziumdioxid nur unwahrscheinlich zu den mit Bezug auf FIG. 1 beschriebenen Problemen durch zusammenwachsende benachbarte Seitenwände und eine sich daraus ergebende Lückenbildung kommt. Die obere Oberfläche der Siliziumdioxidschicht 19 wird dann auf bekannte Weise planarisiert, um die Siliziumdioxidschicht 19 von FIG. 4 zu erhalten, auf die man dann die zweite Ebene von Leitern 20 ablagern kann. Als Alternative kann das Siliziumdioxid 19 auf ein horizontales Niveau geschliffen werden, das gleich der obersten Ebene von Leitern 13 ist, wobei die oberste Oberfläche der Leiter als "Stopper" für den Schleifvorgang verwendet wird. Danach wird in der Kammer 22 von FIG. 6 eine weitere Siliziumdioxidschicht abgelagert, um eine Siliziumdioxidschicht mit einer flachen oberen Oberfläche zu erhalten. Als eine weitere Möglichkeit kann eine Ätzung verwendet werden, um die obere Oberfläche der abgelagerten Schicht 19 zu planarisieren.
- Wieder bezugnehmend auf FIG. 2 ist einzusehen, daß der springende Punkt der Erfindung die Ablagerung einer ersten Siliziumdioxidschicht 18 ist, die eine allgemein wie gezeigt beschaffene obere Oberfläche 45 aufweist. Es wird verhindert, daß die vertikalen Wände zusammenwachsen, indem das Siliziumdioxid 18 so abgelagert wird, daß es zwischen aufeinanderfolgenden Leitern V-förmige obere Oberflächen aufweist, was wiederum ein Gleichgewicht zwischen der Entfernung von Material durch Sputtern und Ablagerung von Siliziumdioxid durch PECVD erfordert. Die nachfolgende Tabelle I zeigt die Parameter, die in beiden Kammern 22 und 23 zur Bedeckung von Leitern mit einem Geometrieverhältnis von bis zu 1,7 verwendet wurden. Außerdem zeigt die Tabelle die Parameter, die in der Kammer 23 verwendet wurden, um die Ablagerungsgeschwindigkeit des Siliziumdioxids zu steigern. Tabelle I
- Tabelle I zeigt die radikal verschiedenen Bedingungen für die Siliziumdioxidablagerung in Kammer 22 in Bezug auf die in Kammer 23. In der Tabelle bedeuten Å Ångström-Einheiten und sccm Standard- Kubikzentimeter pro Minute. Viele Experimente haben dem Verfasser gezeigt, daß die wünschenswerte Aufgabe der Ablagerung in der Kammer 22 darin besteht, die Sputtergeschwindigkeit zu maximieren, weil die Geschwindigkeit, mit der das Siliziumdioxid abgelagert werden kann, durch die Geschwindigkeit begrenzt ist, mit der es von den Ecken der Leiter weggesputtert werden muß; die für den gesamten Prozeß in Anspruch genommene Zeit wird verringert, indem sowohl die Sputter- als auch die Ablagerungsgeschwindigkeit in der Kammer 22 erhöht wird. Somit wird zur Maximierung der Sputtergeschwindigkeit Argon verwendet, um die Masse der auftreffenden Ionen auf der Waferoberfläche zu steigern. In Kammer 22 wird ein wesentlich niedrigerer Druck verwendet (zwischen 0,13 und 13,3 Pa) (zwischen einem und einhundert Millitorr) als in der Kammer 23 (zwischen 133 und 2660 Pa) (zwischen etwa einem und zwanzig Torr). Die Elektrode 31 der Kammer 22 ist kleiner als die Elektrode 35 und wird durch die HF- Quelle 33 angesteuert, um die Energie des Ionenauftreffens auf der Waferoberfläche zu maximieren. Das Magnetfeld steigert die Sputtergeschwindigkeit erheblich und verbessert deshalb die Wirksamkeit der Kammer 22 sehr. 1,3 10&supmin;² Tesla (einhundertdreißig Gauss) war das stärkste Magnetfeld, das mit dem verwendeten System erzielt werden konnte; es scheint, daß dieser Wert wesentlich vergrößert werden sollte, um den Gesamtprozeß zu beschleunigen. Silan als die Siliziumquelle und N&sub2;O als die Sauerstoffquelle verstärken den Sputter-Bestandteil weiter. Eine hohe HF-Leistung in der Kammer 22 (d.h. eine Leistung von mehr als mindestens einhundert Watt) wird bevorzugt, um das Sputtern zu maximieren. Tatsächlich sind die HF- Leistung und das Magnetfeld vielleicht sogar die beiden wichtigsten Parameter zur Vergrößerung des Sputter- Bestandteils. Natürlich könnten TEOS, 02 und andere alternative Gase in der Kammer 22 verwendet werden. Das Prozeßfenster für qualitativ hochwertige Filme ist jedoch bei diesen Gasen in der Kammer 22 wesentlich schmäler. In Kammer 23 ist erwünscht, die Ablagerungsgeschwindigkeit zu maximieren, so daß passend TEOS und O&sub2; gewählt werden kann. Durch Maximierung der Sputtergeschwindigkeit in der Kammer 22 wird die zur Herstellung der Konfiguration von FIG. 2 benötigte Zeit minimiert. Somit beträgt in der beschriebenen Ausführungsform die Ablagerungsgeschwindigkeit in der Kammer 23 12,5 mm pro Sekunde (125 Ångström pro Sekunde), und in Kammer 22 beträgt die Geschwindigkeit nur 70 nm pro Sekunde (700 Ångström pro Minute). In der Kammer 23 werden keine Bemühungen unternommen, um die Elektrode 49, die den Wafer 30 hält, kleiner als die Elektrode 47 auszuführen, und der Elektrodenabstand beträgt nur 50 mm (200 Millizoll), weil kein Sputter-Bestandteil benötigt wird.
- Bei dem verwendeten "Precision 5000 System" wurden ein Paar Quarzringe und ein Graphitring, die die Elektrode 31 der Kammer 22 umgaben, entfernt. Außerdem wurden andere geringfügige Änderungen des Gefüges vorgenommen, wobei jedoch im wesentlichen das handelsübliche System zur Verarbeitung von Halbleiterwafern mit einem großen Durchsatz voll ausgenutzt wurde. Der Durchmesser der Elektrode 31 wurde so eingestellt, daß er im wesentlichen gleich dem des Wafers 30 war (15,25 Cm) (sechs Zoll) . Der Zweck dieser Änderungen war, die Plasmaverteilung über der oberen Oberfläche des Wafers so gleichförmig wie möglich zu machen, und es scheint, wie bereits erwähnt, daß andere Spulen verwendet werden sollten, um eine stärkeres sich drehendes Magnetfeld zu erzielen.
- Die verschiedenen beschriebenen Parameter illustrieren die optimale Anordnung für Gleichgewicht zwischen Sputtern und Ablagerung lediglich in einer Ausführungsform Es könnten verschiedene andere Gase verwendet werden. In diesem Fall sollten die Parameter entsprechend angepaßt werden. Es könnte nur eine einzige Kammer zur Erzielung beider Ablagerungen verwendet werden. In diesem Fall wären jedoch weitere Kompromisse bei der Struktur der Vorrichtung erforderlich. Es ist nicht unbedingt erforderlich, daß sich das Magnetfeld dreht, wenn eine ungleichförmige Ablagerung und Dichte tolerierbar sind.
Claims (8)
1. Verfahren zur Herstellung eines integrierten
Schaltungsbauelements mit den Schritten der Ablagerung
einer ersten Schicht (18) aus Siliziumdioxid auf der
Oberfläche eines Substrats (12), indem die
Substratoberfläche in einer Silizium- und
Sauerstoffbestandteile enthaltenden Atmosphäre einem
HF-Plasma ausgesetzt wird, wobei
das HF-Plasma gebildet wird, indem die
Atmosphäre einer HF-Leistung von mehr als einhundert
Watt unterworfen wird;
das Plasma einen inerten Gasbestandteil
enthält, wobei das magnetische Feld, die HF-Leistung
und das inerte Gas zusammenwirken, um dadurch
vorzugsweise an Diskontinuitäten des Substrats eine
Zerstäubung zu bewirken, durch folgendes
gekennzeichnet:
Bilden eines Magnetfelds (B), das sich durch
das Substrat hindurch und durch das Plasma hindurch
direkt an der Oberfläche in einer im wesentlichen zu
der Oberfläche parallelen Richtung erstreckt;
und eine zweite Schicht (19) aus
Siliziumdioxid auf der ersten Schicht abgelagert wird,
indem die Substratoberfläche einem HF-Plasma einer
Silizium- und Sauerstoffbestandteile enthaltenden
Atmosphäre, aber ohne Magnetfeld, ausgesetzt wird,
wobei die Zusammensetzung der Atmosphäre, der Druck der
Atmosphäre und das HF-Plasma zusammenwirken, um während
der Ablagerung der zweiten Schicht eine wesentlich
größere Ablagerungsgeschwindigkeit als während der
Ablagerung der ersten Schicht herzustellen, und wobei
während der Ausbildung der ersten Schicht das
Substrat auf einer mit HF erregten Elektrode getragen
wird und während der Ausbildung der zweiten Schicht das
Substrat auf einer mit Masse verbundenen Elektrode
getragen wird.
2. Verfahren nach Anspruch 1, weiterhin dadurch
gekennzeichnet, daß:
während der Ablagerung der ersten Schicht
bewirkt wird, daß sich das Magnetfeld in einer im
wesentlichen zu der Substratoberfläche parallelen Ebene
dreht.
3. Verfahren nach Anspruch 1 oder 2, weiterhin
dadurch gekennzeichnet, daß:
das Substrat eine allgemein planare
Konfiguration aufweist und so getragen wird, daß seine
freigelegte Oberfläche eine relativ großflächige
Oberfläche des Substrats ist und in einer im
wesentlichen horizontalen Ebene liegt;
und die Substratoberfläche eine Mehrzahl in
relativ dichtem Abstand liegender leitfähiger Elemente
(13) enthält, angrenzende Wandteile (14) aufweisen,
wodurch während der Ablagerung der ersten Schicht die
elektrischen und magnetischen Felder hauptsächlich an
freigelegten Ecken der leitfähigen Elemente eine
Zerstäubungserscheinung bewirken.
4. Verfahren nach Anspruch 3, weiterhin dadurch
gekennzeichnet, daß:
das Magnetfeld an der Substratoberfläche
einen Wert von mehr als ungefähr 10&supmin;² Tesla (einhundert
Gauss) aufweist.
5. Verfahren nach Anspruch 1, 2 oder 4,
weiterhin dadurch gekennzeichnet, daß:
das Magnetfeld durch einen magnetischen
Feldvektor mit einem im wesentlichen konstanten Wert
definiert wird, der sich mit einer Frequenz von
zwischen etwa 0,5 und einhundert Umdrehungen pro
Sekunde dreht.
6. Verfahren nach Anspruch 1, weiterhin dadurch
gekennzeichnet, daß:
während der Ausbildung der zweiten Schicht
die Atmosphäre im wesentlichen keinen inerten
Gasbestandteil enthält und der Gesamt-Gasdruck der
Atmosphäre während der Ausbildung der zweiten Schicht
wesentlich höher als während der Ausbildung der ersten
Schicht ist.
7. Verfahren nach Anspruch 1 oder 6, weiterhin
dadurch gekennzeichnet, daß:
während der Ausbildung der ersten Schicht der
Siliziumbestandteil in der Atmosphäre Silan und der
Sauerstoffbestandteil Stickstoffoxid umfassen, und
während der Ausbildung der zweiten Schicht der
Siliziumbestandteil in der Atmosphäre Tetraethoxysilan
und der Sauerstoffbestandteil reinen Sauerstoff
umfassen.
8. Verfahren nach Anspruch 1, 6 oder 7,
weiterhin mit dem folgenden Schritt:
Planarisieren einer oberen Oberfläche des
abgelagerten zweiten Siliziumdioxids;
und Ausbilden einer zweiten leitfähigen
Struktur auf der planarisierten zweiten
Siliziumdioxidoberfläche.
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US07/584,986 US5089442A (en) | 1990-09-20 | 1990-09-20 | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
Publications (2)
Publication Number | Publication Date |
---|---|
DE69129885D1 DE69129885D1 (de) | 1998-09-03 |
DE69129885T2 true DE69129885T2 (de) | 1998-12-17 |
Family
ID=24339594
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE69129885T Expired - Fee Related DE69129885T2 (de) | 1990-09-20 | 1991-09-11 | Verfahren zur Ablagerung von Silicium-Dioxid |
Country Status (5)
Country | Link |
---|---|
US (1) | US5089442A (de) |
EP (1) | EP0478174B1 (de) |
JP (1) | JPH07101688B2 (de) |
DE (1) | DE69129885T2 (de) |
SG (1) | SG43945A1 (de) |
Families Citing this family (235)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3362397B2 (ja) * | 1991-03-28 | 2003-01-07 | ソニー株式会社 | ポリッシュによる平坦化工程を含む電子装置の製造方法 |
US5470772A (en) * | 1991-11-06 | 1995-11-28 | Intel Corporation | Silicidation method for contactless EPROM related devices |
JPH05243402A (ja) * | 1992-03-03 | 1993-09-21 | Nec Corp | 半導体装置の製造方法 |
EP0574100B1 (de) * | 1992-04-16 | 1999-05-12 | Mitsubishi Jukogyo Kabushiki Kaisha | Verfahren und Vorrichtung zur Plasma-unterstützten chemischen Dampfphasen-Abscheidung |
US5360769A (en) * | 1992-12-17 | 1994-11-01 | Micron Semiconductor, Inc. | Method for fabricating hybrid oxides for thinner gate devices |
US5416048A (en) * | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
FR2704558B1 (fr) * | 1993-04-29 | 1995-06-23 | Air Liquide | Procede et dispositif pour creer un depot d'oxyde de silicium sur un substrat solide en defilement. |
US5503882A (en) * | 1994-04-18 | 1996-04-02 | Advanced Micro Devices, Inc. | Method for planarizing an integrated circuit topography |
US5494854A (en) | 1994-08-17 | 1996-02-27 | Texas Instruments Incorporated | Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films |
US5686356A (en) * | 1994-09-30 | 1997-11-11 | Texas Instruments Incorporated | Conductor reticulation for improved device planarity |
KR0159016B1 (ko) * | 1995-06-28 | 1999-02-01 | 김주용 | 반도체소자의 금속배선간 절연막의 제조방법 |
JP2674585B2 (ja) * | 1995-09-28 | 1997-11-12 | 日本電気株式会社 | 半導体装置の製造方法 |
US5861342A (en) * | 1995-12-26 | 1999-01-19 | Vlsi Technology, Inc. | Optimized structures for dummy fill mask design |
DE69623651T2 (de) * | 1995-12-27 | 2003-04-24 | Lam Research Corp., Fremont | Verfahren zur füllung von gräben auf einer halbleiterscheibe |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5639697A (en) * | 1996-01-30 | 1997-06-17 | Vlsi Technology, Inc. | Dummy underlayers for improvement in removal rate consistency during chemical mechanical polishing |
US5783488A (en) * | 1996-01-31 | 1998-07-21 | Vlsi Technology, Inc. | Optimized underlayer structures for maintaining chemical mechanical polishing removal rates |
US6106678A (en) * | 1996-03-29 | 2000-08-22 | Lam Research Corporation | Method of high density plasma CVD gap-filling |
US6827824B1 (en) | 1996-04-12 | 2004-12-07 | Micron Technology, Inc. | Enhanced collimated deposition |
US5888901A (en) * | 1996-08-05 | 1999-03-30 | Motorola, Inc. | Multilevel interconnection and method for making |
US6534409B1 (en) | 1996-12-04 | 2003-03-18 | Micron Technology, Inc. | Silicon oxide co-deposition/etching process |
US6184158B1 (en) * | 1996-12-23 | 2001-02-06 | Lam Research Corporation | Inductively coupled plasma CVD |
US6190233B1 (en) * | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US5854125A (en) * | 1997-02-24 | 1998-12-29 | Vlsi Technology, Inc. | Dummy fill patterns to improve interconnect planarity |
US6136685A (en) * | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US6150072A (en) * | 1997-08-22 | 2000-11-21 | Siemens Microelectronics, Inc. | Method of manufacturing a shallow trench isolation structure for a semiconductor device |
US6176983B1 (en) * | 1997-09-03 | 2001-01-23 | Vlsi Technology, Inc. | Methods of forming a semiconductor device |
US6194038B1 (en) * | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6150285A (en) | 1998-06-17 | 2000-11-21 | Advanced Micro Devices, Inc. | Method for simultaneous deposition and sputtering of TEOS |
KR100319185B1 (ko) * | 1998-07-31 | 2002-01-04 | 윤종용 | 반도체 장치의 절연막 형성 방법 |
US6384466B1 (en) * | 1998-08-27 | 2002-05-07 | Micron Technology, Inc. | Multi-layer dielectric and method of forming same |
US6225210B1 (en) * | 1998-12-09 | 2001-05-01 | Advanced Micro Devices, Inc. | High density capping layers with improved adhesion to copper interconnects |
US7381638B1 (en) | 1999-06-09 | 2008-06-03 | National Semiconductor Corporation | Fabrication technique using sputter etch and vacuum transfer |
US6927160B1 (en) | 1999-06-09 | 2005-08-09 | National Semiconductor Corporation | Fabrication of copper-containing region such as electrical interconnect |
US6093634A (en) * | 1999-07-26 | 2000-07-25 | United Microelectronics Corp. | Method of forming a dielectric layer on a semiconductor wafer |
US6319796B1 (en) | 1999-08-18 | 2001-11-20 | Vlsi Technology, Inc. | Manufacture of an integrated circuit isolation structure |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6335288B1 (en) | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
TW479315B (en) | 2000-10-31 | 2002-03-11 | Applied Materials Inc | Continuous depostiton process |
TW478099B (en) | 2000-11-03 | 2002-03-01 | Applied Materials Inc | Shallow trench isolation manufacture method |
GB0100151D0 (en) * | 2001-01-04 | 2001-02-14 | Trikon Holdings Ltd | Methods of sputtering |
US6447651B1 (en) | 2001-03-07 | 2002-09-10 | Applied Materials, Inc. | High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers |
US6596653B2 (en) * | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US6740601B2 (en) * | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US7115516B2 (en) * | 2001-10-09 | 2006-10-03 | Applied Materials, Inc. | Method of depositing a material layer |
US6869880B2 (en) * | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6908862B2 (en) * | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
DE10228771B4 (de) * | 2002-06-27 | 2008-02-14 | Infineon Technologies Ag | Verfahren zur Planarisierung mit definierbarer Planarisierungslänge in integrierten Halbleiterschaltungen und derartige integrierte Halbleiterschaltung |
AU2003270496A1 (en) * | 2002-09-09 | 2004-03-29 | Oster Magnetics, Inc. | Apparatus for manipulating magnetic fields |
US20070212850A1 (en) * | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7456116B2 (en) * | 2002-09-19 | 2008-11-25 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7141483B2 (en) * | 2002-09-19 | 2006-11-28 | Applied Materials, Inc. | Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill |
US7335609B2 (en) * | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
US7431967B2 (en) * | 2002-09-19 | 2008-10-07 | Applied Materials, Inc. | Limited thermal budget formation of PMD layers |
US7628897B2 (en) * | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6802944B2 (en) * | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US6808748B2 (en) * | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US7081414B2 (en) * | 2003-05-23 | 2006-07-25 | Applied Materials, Inc. | Deposition-selective etch-deposition process for dielectric film gapfill |
US6958112B2 (en) * | 2003-05-27 | 2005-10-25 | Applied Materials, Inc. | Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US6903031B2 (en) * | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
US20060061443A1 (en) * | 2003-10-14 | 2006-03-23 | Oster Magnetics, Inc. | Apparatus for manipulating magnetic fields |
US20050136684A1 (en) * | 2003-12-23 | 2005-06-23 | Applied Materials, Inc. | Gap-fill techniques |
US20050260356A1 (en) * | 2004-05-18 | 2005-11-24 | Applied Materials, Inc. | Microcontamination abatement in semiconductor processing |
US7229931B2 (en) * | 2004-06-16 | 2007-06-12 | Applied Materials, Inc. | Oxygen plasma treatment for enhanced HDP-CVD gapfill |
US7183227B1 (en) * | 2004-07-01 | 2007-02-27 | Applied Materials, Inc. | Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas |
US20070212847A1 (en) * | 2004-08-04 | 2007-09-13 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US7642171B2 (en) * | 2004-08-04 | 2010-01-05 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US7087536B2 (en) * | 2004-09-01 | 2006-08-08 | Applied Materials | Silicon oxide gapfill deposition using liquid precursors |
JP2006165386A (ja) * | 2004-12-09 | 2006-06-22 | Showa Shell Sekiyu Kk | Cis系薄膜太陽電池及びその作製方法 |
US20060154494A1 (en) | 2005-01-08 | 2006-07-13 | Applied Materials, Inc., A Delaware Corporation | High-throughput HDP-CVD processes for advanced gapfill applications |
US7329586B2 (en) * | 2005-06-24 | 2008-02-12 | Applied Materials, Inc. | Gapfill using deposition-etch sequence |
KR100675895B1 (ko) * | 2005-06-29 | 2007-02-02 | 주식회사 하이닉스반도체 | 반도체소자의 금속배선구조 및 그 제조방법 |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
KR100752190B1 (ko) * | 2006-09-04 | 2007-08-27 | 동부일렉트로닉스 주식회사 | 반도체 소자의 갭필 방법 |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US7939422B2 (en) * | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US7678715B2 (en) * | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
US8869741B2 (en) * | 2008-12-19 | 2014-10-28 | Lam Research Corporation | Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8497211B2 (en) | 2011-06-24 | 2013-07-30 | Applied Materials, Inc. | Integrated process modulation for PSG gapfill |
JP2013026599A (ja) * | 2011-07-26 | 2013-02-04 | Elpida Memory Inc | 半導体装置の製造方法 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
TWI563669B (en) * | 2014-08-04 | 2016-12-21 | Innolux Corp | Thin film transistor and display panel using the same |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
CN106947954B (zh) * | 2017-04-27 | 2019-06-18 | 京东方科技集团股份有限公司 | 一种气相沉积设备及薄膜的制备方法 |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10374153B2 (en) * | 2017-12-29 | 2019-08-06 | Spin Memory, Inc. | Method for manufacturing a magnetic memory device by pre-patterning a bottom electrode prior to patterning a magnetic material |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4290187A (en) * | 1973-10-12 | 1981-09-22 | Siemens Aktiengesellschaft | Method of making charge-coupled arrangement in the two-phase technique |
US3934060A (en) * | 1973-12-19 | 1976-01-20 | Motorola, Inc. | Method for forming a deposited silicon dioxide layer on a semiconductor wafer |
US4246296A (en) * | 1979-02-14 | 1981-01-20 | Bell Telephone Laboratories, Incorporated | Controlling the properties of native films using selective growth chemistry |
DE2941559C2 (de) * | 1979-10-13 | 1983-03-03 | Messerschmitt-Bölkow-Blohm GmbH, 8000 München | Verfahren zum Abscheiden von Silizium auf einem Substrat |
US4363828A (en) * | 1979-12-12 | 1982-12-14 | International Business Machines Corp. | Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas |
US4487162A (en) * | 1980-11-25 | 1984-12-11 | Cann Gordon L | Magnetoplasmadynamic apparatus for the separation and deposition of materials |
JPS57162349A (en) * | 1981-03-30 | 1982-10-06 | Fujitsu Ltd | Forming method for multilayer wiring of semiconductor device |
JPS59158516A (ja) * | 1983-02-28 | 1984-09-08 | Hitachi Ltd | 電極・配線の製造方法 |
JPS6027132A (ja) * | 1983-07-25 | 1985-02-12 | Mitsubishi Electric Corp | 絶縁膜の形成方法 |
US4481070A (en) * | 1984-04-04 | 1984-11-06 | Advanced Micro Devices, Inc. | Double planarization process for multilayer metallization of integrated circuit structures |
CA1213075A (en) * | 1984-06-15 | 1986-10-21 | Jacques S. Mercier | Method for improving step coverage of dielectrics in vlsi circuits |
DE3580953D1 (de) * | 1984-08-31 | 1991-01-31 | Anelva Corp | Entladungsvorrichtung. |
US4668365A (en) * | 1984-10-25 | 1987-05-26 | Applied Materials, Inc. | Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition |
US4588490A (en) * | 1985-05-22 | 1986-05-13 | International Business Machines Corporation | Hollow cathode enhanced magnetron sputter device |
US4714536A (en) * | 1985-08-26 | 1987-12-22 | Varian Associates, Inc. | Planar magnetron sputtering device with combined circumferential and radial movement of magnetic fields |
JPS62229844A (ja) * | 1986-03-31 | 1987-10-08 | Toshiba Corp | 薄膜堆積方法 |
US4882198A (en) * | 1986-11-26 | 1989-11-21 | Optical Coating Laboratory, Inc. | System and method for vacuum deposition of thin films |
US4842683A (en) * | 1986-12-19 | 1989-06-27 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US4872947A (en) * | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
DE3853890T2 (de) * | 1987-01-19 | 1995-10-19 | Hitachi Ltd | Mit einem Plasma arbeitendes Gerät. |
JP2821138B2 (ja) * | 1988-05-27 | 1998-11-05 | 株式会社日立製作所 | 薄膜形成方法及びその装置 |
-
1990
- 1990-09-20 US US07/584,986 patent/US5089442A/en not_active Expired - Fee Related
-
1991
- 1991-09-11 EP EP91308268A patent/EP0478174B1/de not_active Expired - Lifetime
- 1991-09-11 DE DE69129885T patent/DE69129885T2/de not_active Expired - Fee Related
- 1991-09-11 SG SG1996006655A patent/SG43945A1/en unknown
- 1991-09-18 JP JP3265519A patent/JPH07101688B2/ja not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
US5089442A (en) | 1992-02-18 |
EP0478174A3 (de) | 1992-04-15 |
EP0478174A2 (de) | 1992-04-01 |
DE69129885D1 (de) | 1998-09-03 |
JPH07101688B2 (ja) | 1995-11-01 |
EP0478174B1 (de) | 1998-07-29 |
SG43945A1 (en) | 1997-11-14 |
JPH04234121A (ja) | 1992-08-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE69129885T2 (de) | Verfahren zur Ablagerung von Silicium-Dioxid | |
DE3587964T2 (de) | Verfahren und Vorrichtung zur chemischen Abscheidung aus der Dampfphase mittels eines durch Magnetron verstärkten Plasmas. | |
DE68925892T2 (de) | Mehrstufig planarisierte chemische Abscheidung aus der Gasphase | |
DE69022667T2 (de) | Anisotropische Ablagerung von Siliziumdioxyd. | |
DE3789142T2 (de) | Plasma unterstütztes CVD Verfahren auf TEOS basis zur Herstellung von Siliziumdioxide. | |
DE3609681C2 (de) | Verfahren zur Dünnfilmerzeugung | |
DE69835032T2 (de) | Verbesserte methode eine oxidschicht zu ätzen | |
DE69732918T2 (de) | Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD | |
DE3856483T2 (de) | Verfahren zur Herstellung von Dünnschichten | |
DE10230088B4 (de) | Verfahren zum Herstellen einer Halbleitervorrichtung | |
DE69226814T2 (de) | CVD-Methode zur Bildung eines Siliziumoxydfilms | |
DE69900076T2 (de) | Verfahren zur Herstellung von fluorierten diamantartigen Beschichtungen | |
DE10016340C1 (de) | Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen | |
US5872064A (en) | DSAD process for deposition of inter layer dielectric | |
DE69908101T2 (de) | Verfahren zum beschichten und ätzen einer dielektrischen schicht | |
DE69513758T2 (de) | Ätzverfahren und Plasmareaktor zur Durchführung desselben | |
DE102004001099B4 (de) | Oxidationsverfahren mit hochdichtem Plasma | |
DE3783405T2 (de) | Halbleiteranordnung mit einer duennschicht-verdrahtung und verfahren zum herstellen derselben. | |
DE69025801T2 (de) | Verfahren zum Formen von elektrischen Kontakten zwischen Verbindungsleiterschichten auf verschiedenen Ebenen | |
DE3871851T2 (de) | Trockenaetzverfahren fuer aluminiumschichten. | |
DE3117252A1 (de) | Plasmaauftragvorrichtung | |
EP0089382B1 (de) | Plasmareaktor und seine Anwendung beim Ätzen und Beschichten von Substraten | |
DE4229161A1 (de) | Verfahren und herstellung zur herstellung eines siliziumoxidfilms | |
DE60114383T2 (de) | Verfahren und vorrichtung zur plasmabeschichtung | |
DE69835765T2 (de) | Plasma-Verfahren |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8364 | No opposition during term of opposition | ||
8339 | Ceased/non-payment of the annual fee |