DE19722624A1 - Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets - Google Patents

Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets

Info

Publication number
DE19722624A1
DE19722624A1 DE19722624A DE19722624A DE19722624A1 DE 19722624 A1 DE19722624 A1 DE 19722624A1 DE 19722624 A DE19722624 A DE 19722624A DE 19722624 A DE19722624 A DE 19722624A DE 19722624 A1 DE19722624 A1 DE 19722624A1
Authority
DE
Germany
Prior art keywords
hollow cathode
anode
cathode
hollow
individual
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE19722624A
Other languages
English (en)
Other versions
DE19722624C2 (de
Inventor
Juergen Prof Dr Dr Engemann
Darius Dr Korzec
Mark Mildner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JE PLASMACONSULT GmbH
Original Assignee
JE PLASMACONSULT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by JE PLASMACONSULT GmbH filed Critical JE PLASMACONSULT GmbH
Priority to DE19722624A priority Critical patent/DE19722624C2/de
Priority to DE59805573T priority patent/DE59805573D1/de
Priority to EP98109597A priority patent/EP0881865B1/de
Publication of DE19722624A1 publication Critical patent/DE19722624A1/de
Application granted granted Critical
Publication of DE19722624C2 publication Critical patent/DE19722624C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/48Generating plasma using an arc
    • H05H1/481Hollow cathodes

Description

Die Erfindung bezieht sich auf eine Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasma­ jets nach dem Oberbegriff des Anspruches 1.
Die erfindungsgemäße Vorrichtung erzeugt Plasmajets mittels zugeführter Hochfrequenzleistung unter Ausnut­ zung des Hohlkathodeneffektes. Dabei wird die benötigte Energie durch einen Hochfrequenzgenerator mit einer Frequenz zwischen 100 kHz und 100 MHz bereitgestellt. Aufgrund postalischer Einschränkungen benutzt man in der Regel die Frequenz 13,56 MHz. Die Hoch­ frequenzleistung wird mit Hilfe eines geeigneten Netz­ werkes angepaßt.
Eine spezielle Form von Gasentladungen stellen die Hohlkathodenentladungen dar, die unabhängig von der An­ regungsart Plasmen mit hoher Ionendichte erzeugen. Von Gleichstrom-Hohlkathodenentladungen wird schon sehr früh in diesem Jahrhundert berichtet, beispielsweise im Aufsatz von Günther-Schulze Zeitschrift für Physik 30, Seite 175-186 (1924). Im Aufsatz von Little und von Engel Proc. R. Soc. 224, Seite 209-227 (1954) wird erstmals eine Theorie für Gleichstrom-Hohlkathodenent­ ladungen entwickelt. Der Aufsatz von Pillow, Spectro­ chimica Acta 36B, Seite 821-843 (1981) gibt einen Über­ blick über die diversen physikalischen Eigenschaften von Gleichstrom-Hohlkathodenentladungen. In der Litera­ tur finden sich eine Reihe von Hohlkathoden-Gleich­ stromentladungen zur Beschichtung von Substraten, so z. B. im Aufsatz von Jansen, Kuhman und C. Taber, J.Vac. Sci. Technol. Vol. A7 (6), Seite 3176-3182 (1989).
Gleichstromentladungen und somit auch solche li­ nearen Anordnungen, wie sie im Aufsatz von Belkind, Li, Clow und F. Jansen, Surface and coating Technology 76-77, Seite 738-743 (1995) vorschlagen werden, sind nicht für solche Prozesse geeignet, bei denen eine isolierende Beschichtung auf einem Substrat deponiert wird. Da dieses als Elektrode dient, kann mit der voll­ ständigen isolierenden Bedeckung die Entladung nicht aufrecht erhalten werden. In der Vorrichtung von Belkind et. al. wird das zu behandelte Substrat als Anode genutzt. Somit eignen sich auch Isolatoren natur­ gemäß nicht als Substrate zur Oberflächenbehandlung.
Im US Patent 5464667 von Köhler, Kirk und Follett wird ein Verfahren vorgestellt, mit welchem es möglich ist, mittels einer Gleichstrom-Hohlkathodenentladung auf Substrate jeglicher Art, wie z. B. Kunststoffolien, kohlenstoffreiche Schichten abzuscheiden. Das Plasma wird innerhalb zweier seriell angeordneter Hohlkathoden generiert, wobei eine Hohlkathode aus rechteckigen parallelen Platten besteht und einen sogenannten Hohlkatodenschlitz bildet. Der Einsatz eines derartigen Schlitzes limitiert jedoch die Skalierbarkeit der Vor­ richtung, da für eine vorgegebene Behandlungsbreite ein konstantes Verhältnis der Breite zur Schlitzhöhe einge­ halten werden muß.
In einem Aufsatz von Horwitz in Appl. Phys. Lett. 43(10), Seite 997-979 (1983) wird erstmals von Hohlka­ thodenentladungen berichtet, die mit hochfrequenter Leistung betrieben werden. Mit der dort beschriebenen Vorrichtung werden Ätzprozesse durchgeführt, wobei das Substrat in der Hohlkathode selbst angebracht ist. Auf Grund der Konstruktion bilden sich keine Niedertempera­ tur-Plasmajets, da die Gasteilchen durch einen Spalt aus der Hohlkathode strömen.
Im Aufsatz von Lejeune, Grandchamp, Kessi und Gil­ les, Vacuum 36, Seite 837-840 (1986) wird berichtet, daß Hochfrequenz-Hohlkathodenentladung in einer Hoch­ frequenz-Ionenquelle eingesetzt wird. Die Hohlkathoden sind in einer Matrix angeordnet, welche sich in einem auf Hochspannung liegenden Anodenzylinder befindet. In diesen Anodenzylinder strömt das Arbeitsgas ein und ge­ langt von dort in die Hohlkathoden, wo ein dichtes Plasma erzeugt wird. Zur Verbesserung der Plas­ mahomogenität wird an den Anodenzylinder ein Magnetfeld angelegt. Beim Betrieb der Hochfrequenz-Ionenquelle bilden sich jedoch keine Niedertemperatur-Plasmajets aus.
Im US Patent 4954751 von Kaufman und Robinson wird von einer Vorrichtung berichtet, bei der in zwei voneinander elektrisch isolierten Kavitäten hochfre­ quente Hohlkathodenentladungen erzeugt werden. Die Ka­ vitäten werden parallel von einem Generator mit Lei­ stung versorgt. Das Arbeitsgas strömt jedoch nicht direkt in die Hohlkathoden ein. Die Vorrichtung ist auf zwei Hohlkathoden beschränkt, so daß eine Skalierung nicht möglich scheint. Außerdem werden keine Plasmajets extrahiert, da diese Vorrichtung lediglich als Elektronenquelle dienen soll, so daß diese Vorrichtung nicht in Plasmapolymerisationsprozessen eingesetzt wer­ den kann.
Niedertemperatur-Plasmajets werden in DE 36 20 214 A1 und im Aufsatz von Bardos und Dusek in Thin Solid Films Vol. 158, Seite 265-270 (1988) bei einer Vorrichtung zur plasmaunterstützen CVD (Chemical Vapour Deposition) mit sehr hohen Raten erstmals beschrieben. Die Vorrich­ tung besteht aus einer Hohlkathode, die mit hochfre­ quenter Leistung (27,12 MHz) betrieben wird. Bei dieser Vorrichtung dient das Substrat selbst bzw. auch die Prozeßkammer als Gegenelektrode. Mit dieser Vorrichtung können Abscheideraten von einigen µm pro Minute zur Er­ zeugung von Nitridschichten erzielt werden. Es wird je­ doch von keiner Anordnung berichtet, die eine großflä­ chige Abscheidung auf bahnförmigen Substraten, wie Fo­ lien, ermöglicht. Ebenso stellt die Beschichtung von nichtleitenden Substraten ein Problem dar.
Ein anderes Konzept zur Erzeugung von Niedertempe­ ratur-Plasmajets wird in WO 96/16531 von Bardos und Ba­ rankova (1995) beschrieben. Bei dieser Vorrichtung sind zwei parallele Platten von einigen Zentimetern Länge zu einer Hochfrequenz-Hohlkathode zusammengefaßt. Eine Ma­ gnetanordnung außerhalb einer Hochfrequenz-Hohlkathode bewirkt die Bildung von Plasmajets. Jedoch bildet auch hier das Substrat die Anode für die Entladung. Diese Vorrichtung dient neben dem Einsatz in Ätzprozessen zur Erzeugung harter Schichten, wie z. B. TiN, wobei das Ma­ terial der Hohlkathode gesputtert wird und als Schicht auf dem Substrat deponiert wird.
In DE 42 33 895 A1 von Engemann und Korzec, bzw. im Aufsatz von Korzec, Schott und Engemann J. Vac. Sci.Technol. A13 Seite 843-848 (1995) wird von einer Hochfrequenz-Hohlkathoden-Plasmaquelle zur Oberflächen­ modifikation von bewegten, zweidimensionalen Substraten berichtet. Es handelt sich hier um eine geschlossene Konstruktion mit 300 mm langen Hohlkathodenkanälen. Bei dieser Vorrichtung befinden sich keine Bohrungen in der Hohlkathode, wodurch sich kein effizientes Hohlan­ odenplasma ausbilden kann. In den Aufsätzen von Mildner, Korzec, Hillemann und Engemann verhandl. DPG (VI) 31, Seite 743 (1996), sowie Korzec, Mildner, Hillemann und Engemann (1996) (Beitrag zur PSE'96 zur Veröffentlichung angenommen) wird ebenfalls eine Hoch­ frequenz-Hohlkathoden-Plasmaquelle zur Oberflächenmodi­ fikation mit geschlossener Konstruktion vorgestellt. Hierbei haben die Hochfrequenz-Hohlkathodenkanäle eine Länge von 700 mm und sind mit Kathodenbohrungen verse­ hen. Dadurch bildet sich ein effizientes Hohlanoden­ plasma aus, jedoch ist diese Vorrichtung zur Deposition von Plasmapolymerfilmen auf bewegten, zweidimensionalen Substraten aufgrund der geschlossen Konstruktion nicht geeignet. Außerdem wird durch die Konstruktion die Bil­ dung von Plasmajets verhindert.
Im Aufsatz von Korzec, Engemann, Mildner, Ningel, Borgmeier und Theirich Beitrag zu 3rd European Workshop on Surface Engineering Large Area Coating LAC'95 Würz­ burg, im Druck (1995) wird eine lineare Vorrichtung zur Erzeugung von Hochfrequenz-Hohlkathoden-Niedertempera­ turplasmajets vorgestellt. Bei dieser Vorrichtung wird eine Hochfrequenz-Hohlkathode in einer Hohlanode ko­ axial angeordnet. Durch eine matrixförmige Anordnung von Bohrungen in der Hohlkathode, als auch in der Hohlanode, werden bei geeigneten Parametern Niedertem­ peratur-Plasmajets emittiert. Wird die Hochfrequenz-Hohlkathode beispielsweise als eine 30 cm lange Hohlka­ thode ausgebildet, so zeigt sich, daß bei niedrigen Kammerdrücken im Bereich von einigen Millibar nicht aus allen Bohrungen, die als Düsen fungieren, ein Plas­ mastrahl eines nicht-polymerisierenden Gases extrahiert wird. Es bildet sich abhängig von den Betriebsbe­ dingungen Gasfluß, Vakuumkammerdruck, eingekoppelter HF-Leistung und der Gasart ein Muster von extrahierten Plasmastrahlen. Dieses Muster läßt sich bei dieser Vor­ richtung grundsätzlich nicht vermeiden und führt zu einer inhomogenen Substratbeeinflussung. Es ist jedoch notwendig, bei niedrigen Drücken zu arbeiten, da höhere Drücke zu höheren Temperaturen auf dem Substrat und damit zu dessen Zerstörung führen können.
Es ist somit Aufgabe der Erfindung, eine Vorrich­ tung zur Erzeugung einer Vielzahl von intensiven Hoch­ frequenz-Hohlkathoden-Niedertemperatur-Plasmajets zu schaffen, die eine homogene Disposition einer funktio­ nalen Schicht auf einem bahnförmigen und gegebenenfalls temperaturempfindlichen Substrat ermöglicht.
Die Erfindung löst diese Aufgabe mit den Merkmalen des Anspruchs 1, insbesondere mit denen des Kennzeichenteils, wonach die Vorrichtung mehrere sepa­ rate Einzelhohlkathodenkammern umfaßt und jedem Plasmajet jeweils eine Einzelhohlkathodenkammer als Entladungsraum zugeordnet ist.
Das Prinzip der Erfindung beruht somit im wesentli­ chen darauf, die Plasmajets einzeln in separaten Ein­ zelhohlkathodenkammern zu zünden und aus den Kammern jeweils in einen Prozeßraum zu extrahieren. Mit der er­ findungsgemäßen Lösung gelingt es, die Plasmajets unab­ hängig voneinander mit Arbeitsgas zu versorgen. Dies ermöglicht ein dauerhaftes, gleichmäßiges Brennen aller Plasmajets. Strömungstechnische Nachteile beim Stand der Technik, die verhindern, daß mehrere Plasmajets, die aus einem gemeinsamen Entladungsraum entstammen, nicht gleichmäßig dauerhaft brennen, können so besei­ tigt werden.
Die Ausbildung der Vorrichtung mit Kammergehäuse und Anodengehäuse ermöglicht dabei auch die Deposition von isolierenden Schichten auf ein Substrat bzw. die Deposition auf isolierende Substrate.
Gemäß einer vorteilhaften Ausführungsform erweitern sich Öffnungen in der Anode zumindest bereichsweise zum Prozeßraum hin. Damit wird zunächst die für den Plasma­ jet erkennbare Fläche der Anodenbohrung vergrößert. Es gelingt aber gleichzeitig, nebeneinander angeordnete Plasmajets voneinander abzuschirmen, so daß sie sich erst in einem substratnahen Bereich im Prozeßraum über­ lagern und auf diese Weise gleichmäßig brennen. Eine gegenseitige Beeinflussung benachbarter Plasmajets ist damit vermindert.
Weitere Vorteile der Erfindung ergeben sich aus den Unteransprüchen und anhand von in den Zeichnungen dar­ gestellten Ausführungsbeispielen. Es zeigen:
Fig. 1 einen Querschnitt durch eine Hohlkathode und eine Hohlanode mit einer Hochfrequenz-Hohlkathodenent­ ladung zur Erzeugung eines Niedertemperatur-Plasmajets mit hoher Ionendichte,
Fig. 2a einen Längsschnitt durch eine schematisch dargestellte Vorrichtung mit linear angeordneten ring­ förmigen Einzelhohlkathodenkammern zur Erzeugung mehre­ rer linear angeordneter Niedertemperatur-Plasmajets,
Fig. 2b einen Querschnitt gemäß Schnittlinie A-A' in Fig. 1,
Fig. 3a einen Längsschnitt parallel zur Ebene eines bahnförmigen Substrates durch eine schematisch darge­ stellte Vorrichtung mit flächenartig angeordneten kreiszylindrischen Einzelhohlkathodenkammern zur Erzeu­ gung in Matrixform angeordneter Niedertemperatur-Plas­ majets,
Fig. 3b einen Querschnitt durch eine schematisch dargestellte Vorrichtung gemäß Fig. 3a mit einem Pro­ zeßraum und einem Substrat, und
Fig. 4 einen Querschnitt durch einen Bereich vm stufenartigen Öffnungen in einem Hohlkathodengehäuse und in einem Anodengehäuse mit einem Niedertemperatur-Plasma­ jet.
Fig. 1 zeigt den Stand der Technik und stellt das Prinzip zur Erzeugung eines Niedertemperatur-Plasmajets schematisch dar. Die Erzeugung basiert zum einen auf strömungsphysikalischen Effekten. Zum andern dient das Plasma als elektrischer Leiter zwischen einer Hohlka­ thode 1 und einer Hohlanode 2. In einer geerdeten Ge­ samtanode 11 befindet sich davon elektrisch isoliert die eine Hohlkathodenkammer 34 umgebende Hohlkathode 1. Ein nicht polymerisierendes Arbeitsgas, z. B. Argon, Sauerstoff, Stickstoff, etc. strömt durch den Gaseinlaß 9 in die Hohlkathodenkammer 34 ein. Das Gas strömt an­ schließend durch eine Kathodenbohrung 6 und eine An­ odenbohrung 7 in die Hohlanode 2, welche über den Gas­ auslaß 10 evakuiert wird. Dadurch wird eine Strömung im Bereich der Kathodenbohrung 6 und der Anodenbohrung 7 ausgebildet, die zur Erzeugung des Plasmajets 5 bei­ trägt. Wird die Hohlkathode 1 durch einen Hochfrequenz­ generator 8 (z. B. 13,56 MHz) mit Leistung versorgt, wird in der Hohlkathodenkammer 34 ein Hohlkathoden­ plasma 3 erzeugt. Der gesamte elektrische Entladungs­ strom fließt im Plasma ebenfalls durch die Kathodenboh­ rung 6 und die Anodenbohrung 7, so daß eine Zone hoher Ionendichte entsteht. Beide Effekte erzeugen gemeinsam den Plasmajet 5.
In der Hohlanode 2, in der ein Hohlanodenplasma 4 brennt, ist ein Gasauslaß 10 vorgesehen. Ein Prozeß­ raum 33 ist somit nicht vollständig geschlossen. Es kann daher zu einem Fluß von Plasma bzw. Gas durch die Bohrungen 6, 7 und durch den Prozeßraum 33 kommen und die Plasmajets 5 können aus der Anodenbohrung 5 extra­ hiert werden.
Um unabhängig von der Reaktorgeometrie Polymer­ schichten durch Plasmapolymerisation auf nicht­ leitenden, sich gegebenenfalls bewegenden, zweidimensio­ nalen Substraten, wie z. B. Papier, Kunststoffe, Poly­ propylen-Fasermatten od. dgl. deponieren zu können, wurde eine Hochfrequenz-Hohlkathoden-Plasmaquelle zur Erzeugung eines Niedertemperaturplasmas entwickelt. Als Ausführungsbeispiele gemäß der Erfindung werden im fol­ genden zwei Vorrichtungen zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets vorgestellt.
In Fig. 2a ist ein Längsschnitt durch ein schema­ tisch dargestelltes erstes Ausführungsbeispiel der Vorrichtung zur Erzeugung mehrerer linear angeordneter Niedertemperatur-Plasmajets abgebildet, wobei jeder Plasmajet 5 separat in einer Einzelhohlkathodenkam­ mer 32 einer Einzelhohlkathode 12 erzeugt wird. Die Plasmajets 5 durchstoßen jeweils einen Bereich zwischen der Kathodenbohrung 6 und der Anodenbohrung 7. Sie er­ strecken sich über die Bohrungsbereiche hinaus sowohl in den Prozeßraum 33, als auch in die Einzelhohlkatho­ denkammern 32 hinein. Aufgrund der Druckunterschiede strömt jeder Plasmajet 5 durch die Kathodenbohrung 6 und die Anodenbohrung 7 in den Prozeßraum 33.
Eine Gesamthohlkathode 27 ist koaxial in einer Ge­ samthohlanode 13 angeordnet und durch keramische Iso­ lierstücke 20 elektrisch von der geerdeten Ge­ samthohlanode 13 isoliert. So wird ein Dunkelraum 26 mit einer Breite von vorzugsweise 2,5 mm geformt. Die Gesamthohlkathode 27 wird über die Hochfrequenzzufüh­ rung 14 mit hochfrequenter Leistung versorgt. Dabei wird zur Isolierung der Hochfrequenzzuführung in der Anode 19 eine Hülse vorzugsweise aus PTFE (Polytetrafluorethylen) verwendet.
Mehrere Einzelhohlkathoden 12 sind linear zu einer Gesamthohlkathode 27 angeordnet. Die Einzelhohlkatho­ denkammern 32 der Einzelhohlkathoden 12 werden über eine Kathodengaszuführung 16 mit Arbeitsgas versorgt. Das Arbeitsgas strömt jeweils durch einen Einzelhohlka­ thodengaseinlaß 15 in die Einzelhohlkathodenkammern 32. Eine Gesamtgaszuführung 18 führt das Arbeitsgas von außen beidseitig der Vorrichtung der Gesamthohlkathode 27 zu. Eine Isolierstrecke 17 isoliert die Ge­ samtgaszuführung 18 von der Kathodengaszuführung 16. Diese Isolierstrecke 17 ist so ausgeformt, daß eine pa­ rasitäre Entladung zwischen Gesamthohlanode 13 und der Gesamthohlkathode 27 unterbunden wird.
Die Gesamthohlkathode 27 umfaßt ein Gesamt­ hohlkathodenrohr 28, welches beim Ausführungsbeispiel einen Innendurchmesser von 43 mm bei einer Wandstärke von etwa 10 mm und einer Länge von beispielsweise 300 mm hat. Die Gesamthohlanode 13 hat eine Innendurchmesser von 68 mm und eine Wandstärke von vor­ zugsweise 6 mm bei einer Länge von 324 mm. Die Katho­ denbohrungen 6 bilden zusammen mit den gegenüberliegen­ den Anodenbohrungen 7 eine Reihe parallel zu einer Längsachse der Gesamthohlkathode 27. Jeweils eine Ka­ thodenbohrung 6 und eine Anodenbohrung 7 sind als axial fluchtendes Bohrungspar angeordnet.
Die Kathodenbohrung 6 hat einen Durchmesser von vorzugsweise 10 mm. Die in dem Beispiel dargestellten Anodenbohrungen 7 haben jeweils einen Durchmesser von 4 mm. Durch den Gasfluß des in jede Einzelhohlkathoden­ kammer 32 unter einem erhöhten Druck gegenüber dem Pro­ zeßraum 33 einströmenden Arbeitsgases, strömt ein Plas­ majet 5 aus den Bohrungen 6 und 7 in den Prozeßraum 33. Die Gesamtgaszuführung 18 wird z. B. durch ein 6 mm starkes Edelstahlrohr gebildet.
Beim Ausführungsbeispiel sind insgesamt 15 Einzel­ hohlkathoden 12 bzw. 15 Einzelhohlkathodenkammern 32 vorgesehen, von denen jedoch nur fünf in der Fig. 2a dargestellt sind. Die Einzelhohlkathoden 12 mit den Einzelhohlkathodenkammern 32 werden beim Ausführungs­ beispiel auf folgende Weise gebildet: Die Katho­ dengaszuführung 16 ist durch ein Rohr von 6 mm Durch­ messer realisiert, auf dem mehrere Scheiben 29 von der Dicke 1 mm und dem Durchmesser 43 mm mit einem jeweili­ gen Abstand von vorzugsweise 20 mm befestigt sind. Das Rohr 16 mit den Scheiben 29 wird in das die Ge­ samthohlkathode 27 bildende Rohr 28 geschoben und bil­ det somit toroidale Einzelhohlkathodenkammern 32 der Einzelhohlkathoden 12. Das die Kathodengaszuführung bildende Rohr 16 weist Wanddurchbrüche 15 auf, durch die das Arbeitsgas in die Einzelhohlkathodenkammern 32 gelangt. Die Zahl der Wanddurchbrüche 15 entspricht der Zahl der Einzelhohlkathodenkammern 32. Als Arbeitsgas kann jedes nicht polymerisierende Gas verwendet werden.
Diese lineare Vorrichtung ist so konstruiert, daß sich eine in diskreten Einheiten von 300 mm verlänger­ bare Vorrichtung zur Erzeugung einer beliebig großen Anzahl von Hochfrequenz-Hohlkathoden Niedertemperatur-Plasmajets ergibt. Um eine beidseitige Behandlung bzw. Beschichtung eines Substrates zu erreichen, können zwei parallele linear ausgebildete Vorrichtungen senkrecht zur Bewegungsrichtung des Substrates einander gegen­ überliegend angeordnet werden. Die Hochfrequenzleistung wird über einen symmetrischen HF-Verteiler dem Vorrich­ tungspaar zugeführt. Dieser Verteiler ist so kon­ struiert, daß der Abstand der beiden Vorrichtungen zu­ einander variiert werden kann. Die Hochfrequenzleistung wird über eine Steckverbindung, die ebenfalls das Modularitätsprinzip unterstützt, in die Gesamthohlka­ thode 27 eingekoppelt.
Prinzipiell ist es auch möglich, mehr als zwei der erfindungsgemäßen Vorrichtungen derart anzuordnen, daß dreidimensionale Objekte von allen Seiten beschichtet werden können.
Fig. 2b zeigt einen Querschnitt durch eine toroidale Einzelhohlkathode 12 der Vorrichtung mit Pro­ zeßraum und Substrat.
Der Plasmajet 5 regt das außerhalb der Vorrichtung zugeführte Monomer 22 in einem Remote-Prozeß, analog dem Aufsatz von Korzec, Theirich, Werner, Traub und Engemann, Surf. and Coating Technol. 74-75, p. 67-74 (1995), zur Polymerisation auf der Oberfläche eines Substrat 24, an. Das zu polymerisierende Monomer 22 wird durch eine Monomergaszuführung 21 mit Bohrungen, die nahe der erfindungsgemäßen Vorrichtung angeordnet ist, zugeführt. Es bildet sich eine beschichtende Plas­ mazone 23 im Prozeßraum 33 aus. Das Monomer po­ lymerisiert auf dem Substrat 24 und bildet einen Plasmapolymerfilm 25. Die Ionendichte innerhalb eines Plasmajets 5 beträgt bis zu 1012 Ionen pro cm3.
In Fig. 3a ist ein zweites Ausführungsbeispiel dar­ gestellt. Diese Vorrichtung arbeitet nach dem gleichen Prinzip und ermöglicht ebenfalls die Erzeugung einer Vielzahl von intensiven Hochfrequenz-Hohlkathoden-Nie­ dertemperatur-Plasmajets. Während das in den Fig. 2a und 2b gezeigte Beispiel eine lineare Anordnung von Einzelhohlkathodenkammern 32 der Einzelhohlkathoden 12 zeigt, sind hier die Einzelhohlkathoden 12 mit den Ein­ zelhohlkathodenkammern 32 entlang einer Ebene angeord­ net. Dies führt zu einer matrixartigen Ausbildung von Plasmajets.
Im Gegensatz zu den ringförmigen Einzelhohlkatho­ denkammern 32 in den Fig. 3a und 3b sind bei diesem Ausführungsbeisiel die Einzelhohlkathodenkammern 32 kreiszylindrisch ausgebildet. Die Zuführung des Ar­ beitsgases erfolgt hier jeweils von einer Stirnseite des Zylinders her durch einen Gaseinlaß 15. Der Gasaus­ laß, die Bohrung 6 im Kammergehäuse der Einzelhohlka­ thode 12, ist jeweils auf der gegenüberliegenden Stirn­ seite des Zylinders angeordnet.
Die Einzelhohlkathoden 12 befinden sich in einer Gesamthohlkathode 27, die selbst innerhalb der Gesamt­ anode 13 angeordnet ist. Gesamthohlkathode 27 und Ge­ samtanode 13 sind durch keramische Isolierstücke 20 voneinander getrennt. Die Gesamtanode 13 befindet sich auf dem elektrischen Erdpotential. Die Gesamthohlka­ thode 27 wird über die Hochfrequenzzuführung 14 mit hochfrequenter Leistung versorgt. Die Hochfrequenzzu­ führung 14 ist von der Anode 19 elektrisch isoliert, wobei als Isoliermaterial vorzugsweise PFTE (Polytetrafluorethylen) verwendet wird. In jeder Ein­ zelhohlkathodenkammer 32 der Einzelhohlkathoden 12 brennt ein Hohlkathodenplasma 3. Die Gesamthohlka­ thode 27 wird über die Isolierstrecke 17 der Gaszufüh­ rung und über die Gesamtgaszuführung 18 mit einem nichtpolymerisierenden Arbeitsgas versorgt. Diese Iso­ lierstrecke 17 der Gaszuführung ist so ausgeformt, daß eine parasitäre Entladung zwischen Gesamtanode 13 und der Gesamthohlkathode 27 unterbunden wird.
In Fig. 3b ist ein Querschnitt der Vorrichtung zur Erzeugung in Matrixform angeordneter Niedertemperatur-Plasmajets gezeigt. Das Arbeitsgas strömt von der Iso­ lierstrecke 17 der Gaszuführung in die Kathodengaszu­ führung 16, die als Kanalsystem ausgebildet ist. Von der Kathodengaszuführung 16 strömt das Gas durch den Hohlkathodengaseinlaß 15 in jede Ein­ zelhohlkathodenkammer 32, um ein Hohlkathodenplasma 3 zu zünden. Ein Plasmajet 5 bildet sich im Bereich von Kathodenbohrung 6 und Anodenbohrung 7 und strömt durch die Anodenbohrung 7 in den Prozeßraum 33.
Jede Einzelhohlkathodenkammer 32 der Hohlkathode 12 hat beim Ausführungsbeispiel einen Durchmesser von vor­ zugsweise 20 mm bis 40 mm. Die Länge beträgt z. B. 50 mm. Die Gesamthohlkathode 27 hat beispielsweise eine Länge von ca. 264 mm bei einer Breite von z. B. 125 mm. Der Hohlkathodengaseinlaß 15 hat einen Durchmesser von 2 mm. Die Gesamthohlkathode 27 ist von einem Zwischen­ raum oder Dunkelraum 26 von der Breite 2 mm umgeben.
Die Kathodenbohrung 6 hat einen Durchmesser von 10 mm und die Anodenbohrungen 7 haben einen Durchmesser von 4 mm. Durch den Gasfluß und den erhöhten Druck innerhalb der Einzelhohlkathodenkammern 32 gegenüber der Prozeß­ kammer 33 strömt ein Plasmajet 5 durch die Bohrungen 6 und 7 in den Prozeßraum 33. Die Gesamtgaszuführung 18 wird beispielsweise durch ein 6 mm starkes Edelstahl­ rohr gebildet. Das zu polymerisierende Monomer 22 wird durch eine nahe der erfindungsgemäßen Vorrichtung ange­ ordnete Monomergaszuführung 21 mit Bohrungen analog zur Fig. 2b zugeführt.
Fig. 4 zeigt einen vergrößerten Ausschnitt des Be­ reiches der Bohrungen 6, 7 der oben beschriebenen Vor­ richtung. Das Verhältnis von Kathodenfläche zur Anoden­ fläche spielt für die Generierung des Plasmajets und den Betrieb der Vorrichtung eine wichtige Rolle, wie im Aufsatz von Horwitz, J. Vac. Sci. Technol. A1 Seite 60-68 (1983) beschrieben wird. Beim Ausführungsbeispiel ist die zylindrische Bohrung 7 der Gesamtanode 11 stu­ fenartig ausgebildet. Der Plasmajet 5 strömt durch die Kathodenbohrung 6 zur Anodenbohrung 7. Ein Optimum der Flächenverhältnisse ist erreicht, wenn die Kathoden­ fläche und die Anodenfläche gleich groß sind. Bei der erfindungsgemäßen Vorrichtung bedeutet dies, daß die dem Plasmajet 5 zugewandte Fläche der Anodenbohrung 7 in etwa so groß sein sollte, wie die Innenfläche jeder Einzelhohlkathode 12. Dadurch, daß sich die Anodenboh­ rung 7 zum Prozeßraum 33 hin stufenartig erweitert, wird dem Plasmajet 5 eine größere effektive Fläche zur Verfügung gestellt, als es der Fall bei einer kreiszy­ lindrischen Bohrung ist.
Die Anodenbohrung 7 kann sich zum Prozeßraum hin auch konisch oder gekrümmt erweitern. Gleiches gilt für die Kathodenbohrung 6.
Durch diese erfindungsgemäße Anordnung läßt sich die Spannungsverteilung an den Hochfrequenzelektroden so einstellen, daß man hochintensive Plasmajets extra­ hieren kann. Es wird sichergestellt, daß der elektri­ sche Entladungsstrom über die Oberfläche der zy­ lindrischen, gestuften Anodenbohrung 7 abfließt und eine Umhüllung der Gesamtanode 11 mit den Anodenbohrun­ gen 7 mit einem Plasma vermieden wird.
Anstelle der beiden beschriebenen und dargestellten Ausführungsformen der Vorrichtung, ist es auch möglich, mehrere separate Einzelhohlkathodenkammern ringförmig anzuordnen. Die Plasmajets können dabei entweder in einen Prozeßraum extrahiert werden, der sich innerhalb des vom Ring umschlossenen Bereiches befindet, oder in einen Prozeßraum außerhalb des Ringes. Als Anwendung für eine derartige Vorrichtung kommt beispielsweise die Deposition von funktionalen Schichten auf der Außenum­ fangsfläche oder auf der Innenumfangsfläche eines rohr­ förmigen Körpers in Betracht. Dieser kann relativ zu der ringartig ausgebildeten Vorrichtung bewegt werden, wobei während dieser Bewegung die Deposition der funk­ tionalen Schicht stattfindet.
Alle oben beschriebenen Vorrichtungen zur Erzeugung einer Vielzahl von Plasmajets können bei geringem Drücken von einigen Millibar betrieben werden. Die beim Betrieb auftretenden Temperaturen sind in der Größen­ ordnung von weniger als 500°C.

Claims (23)

1. Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets mittels hochfrequenter Lei­ stung unter Ausnutzung von Hohlkathodenentladungen in mindestens einer Hohlkathodenkammer, die von einer Hohlkathode umgeben ist, die wenigstens eine Einlaßöff­ nung für ein Arbeitsgas umfaßt, mit zumindest einer der Hohlkathode benachbarten Anode, wobei die Hohlkathode und die Anode einander gegenüberliegende Öffnungen aufweisen, durch die die Plasmajets von der Hohlka­ thodenkammer in einen Prozeßraum gelangen, dadurch ge­ kennzeichnet, daß die Vorrichtung mehrere separate Einzelhohlkathodenkammern (32) umfaßt und jedem Plasma­ jet (5) jeweils eine Einzelhohlkathodenkammer (32) als Entladungsraum zugeordnet ist.
2. Vorrichtung nach Anspruch 1, dadurch gekenn­ zeichnet, daß die Hohlkathodenkammer unter Bildung von Einzelhohlkathoden (12) in mehrere separate Einzelhohl­ kathodenkammern (32) unterteilt ist und jede Einzel­ hohlkathode (12) eine eigene Einlaßöffnung (15) zur Einspeisung des Arbeitsgases aufweist.
3. Vorrichtung nach Anspruch 1 oder 2, dadurch ge­ kennzeichnet, daß die Einzelhohlkathodenkammern (32) reihenartig angeordnet sind.
4. Vorrichtung nach Anspruch 3, dadurch gekenn­ zeichnet, daß sich die Reihenanordnung linear er­ streckt.
5. Vorrichtung nach Anspruch 3, dadurch ge­ kennzeichnet, daß die Einzelhohlkathodenkammern (32) ringförmig angeordnet sind.
6. Vorrichtung nach Anspruch 1 oder 2, dadurch ge­ kennzeichnet, daß die Einzelhohlkathodenkammern (32) entlang einer Fläche angeordnet sind.
7. Vorrichtung nach Anspruch 6, dadurch gekenn­ zeichnet, daß die Fläche eine Ebene ist.
8. Vorrichtung nach einem der vorangegangenen An­ sprüche, dadurch gekennzeichnet, daß die Einzelhohlka­ thodenkammern (32) an mehreren einander gegenüberlie­ genden Seiten eines Prozeßraums (33) angeordnet sind.
9. Vorrichtung nach einem der vorangegangenen An­ sprüche, dadurch gekennzeichnet, daß die Einzelhohlka­ thodenkammern (32) durch Anordnung von Trennwänden (29) in einem Gesamtkathodengehäuse (27) gebildet sind.
10. Vorrichtung nach Anspruch 9, dadurch gekenn­ zeichnet, daß das Gesamtkathodengehäuse (27) von einem Gesamtanodengehäuse (13) umgeben ist.
11. Vorrichtung nach Anspruch 10, dadurch gekenn­ zeichnet, daß das Gesamtanodengehäuse (13) elektrisch geerdet ist.
12. Vorrichtung nach einem der vorangegangenen An­ sprüche, dadurch gekennzeichnet, daß Öffnungen (6, 7) in den Einzelhohlkathoden (12) und in der Anode (11) mit­ einander axial fluchtende Bohrungspaare bilden.
13. Vorrichtung nach einem der vorangegangenen An­ sprüche, dadurch gekennzeichnet, daß sich Öffnungen (7) in der Anode (11) jeweils zumindest bereichsweise zum Prozeßraum (33) hin erweitern.
14. Vorrichtung nach Anspruch 13, dadurch gekenn­ zeichnet, daß sich die Öffnungen (7) in der Anode (11) stufenartig zum Prozeßraum (33) hin erweitern.
15. Vorrichtung nach Anspruch 14, dadurch gekenn­ zeichnet, daß die Stufen jeweils von einem Kragen ge­ bildet sind, der auf der prozeßseitigen Wand der Anode (11) angeordnet ist.
16. Vorrichtung nach einem der vorangegangenen An­ sprüche, dadurch gekennzeichnet, daß sich Öffnungen (6) in den Einzelhohlkathoden (12) zum Entladungsraum hin zumindest bereichsweise erweitern.
17. Vorrichtung nach Anspruch 16, dadurch gekenn­ zeichnet, daß sich Öffnungen (6) in den Einzelhohlka­ thoden (12) zum Entladungsraum hin stufenartig erwei­ tern.
18. Vorrichtung nach einem der vorangegangenen An­ sprüche, dadurch gekennzeichnet, daß im Bereich minde­ stens eines Plasmajets (5) wenigstens eine Vorrichtung (21) zur Einbringung eines Monomers (22) in den Prozeß­ raum (33) vorgesehen ist.
19. Vorrichtung nach einem der vorangegangenen An­ sprüche, insbesondere nach Anspruch 9, dadurch gekenn­ zeichnet, daß die Zuführung des Arbeitsgases zu den Einzelhohlkathoden (12) durch eine Isolierstrecke (17) erfolgt, die zwischen dem Gesamtkathodengehäuse (27) und der Gesamtanode (11) angeordnet ist.
20. Vorrichtung nach Anspruch 19, dadurch gekenn­ zeichnet, daß innerhalb des Gesamtkathodengehäuses (27) ein Gasverteilungssystem (16) vorgesehen ist, das jede Einzelhohlkathodenkammer (32) separat mit dem Arbeits­ gas versorgt.
21. Vorrichtung nach Anspruch 20, dadurch gekenn­ zeichnet, daß das Gasverteilungssystem (16) aus einem Rohr gebildet ist.
22. Vorrichtung nach Anspruch 21, dadurch gekenn­ zeichnet, daß an dem Rohr (16) Wanddurchbrüche (15) an­ geordnet sind, durch die das Arbeitsgas in die Einzel­ hohlkathodenkammern (32) gelangt.
23. Vorrichtung nach Anspruch 22, dadurch gekenn­ zeichnet, daß die Einzelhohlkathodenkammern (32) toro­ idförmig ausgebildet und reihenartig angeordnet sind und das Rohr (16) die Mittelachse der Anordnung dar­ stellt.
DE19722624A 1997-05-30 1997-05-30 Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets Expired - Fee Related DE19722624C2 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE19722624A DE19722624C2 (de) 1997-05-30 1997-05-30 Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets
DE59805573T DE59805573D1 (de) 1997-05-30 1998-05-27 Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets
EP98109597A EP0881865B1 (de) 1997-05-30 1998-05-27 Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19722624A DE19722624C2 (de) 1997-05-30 1997-05-30 Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets

Publications (2)

Publication Number Publication Date
DE19722624A1 true DE19722624A1 (de) 1998-12-03
DE19722624C2 DE19722624C2 (de) 2001-08-09

Family

ID=7830910

Family Applications (2)

Application Number Title Priority Date Filing Date
DE19722624A Expired - Fee Related DE19722624C2 (de) 1997-05-30 1997-05-30 Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets
DE59805573T Expired - Lifetime DE59805573D1 (de) 1997-05-30 1998-05-27 Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE59805573T Expired - Lifetime DE59805573D1 (de) 1997-05-30 1998-05-27 Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets

Country Status (2)

Country Link
EP (1) EP0881865B1 (de)
DE (2) DE19722624C2 (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10120405A1 (de) * 2001-04-25 2002-10-31 Je Plasmaconsult Gmbh Vorrichtung zur Erzeugung eines Niedertemperatur-Plasmas
DE10203543A1 (de) * 2002-01-29 2003-10-02 Je Plasmaconsult Gmbh Vorrichtung zur Erzeugung eines APG-Plasmas
DE102010027570B3 (de) * 2010-07-19 2011-11-10 Eagleburgmann Germany Gmbh & Co. Kg Faltenbalg-Kompensator
EP3474635A1 (de) 2017-10-17 2019-04-24 Leibniz-Institut für Plasmaforschung und Technologie e.V. Modulares plasmastrahlbehandlungssystem

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE516336C2 (sv) * 1999-04-28 2001-12-17 Hana Barankova Apparat för plasmabehandling av ytor
ATE245310T1 (de) * 2000-03-14 2003-08-15 Fraunhofer Ges Forschung Verfahren und vorrichtung zur plasmagestützten oberflächenbehandlung und verwendung des verfahrens
DE102004029081A1 (de) * 2004-06-16 2006-01-05 Je Plasmaconsult Gmbh Vorrichtung zur Bearbeitung eines Substrates mittels mindestens eines Plasma-Jets
DE102005032890B4 (de) * 2005-07-14 2009-01-29 Je Plasmaconsult Gmbh Vorrichtung zur Erzeugung von Atmosphärendruck-Plasmen
GB0612814D0 (en) * 2006-06-28 2006-08-09 Boc Group Plc Method of treating a gas stream
TWI318417B (en) 2006-11-03 2009-12-11 Ind Tech Res Inst Hollow-type cathode electricity discharging apparatus
FR2912864B1 (fr) 2007-02-15 2009-07-31 H E F Soc Par Actions Simplifi Dispositif pour generer un plasma froid dans une enceinte sous vide et utilisation du dispositif pour des traitements thermochimiques
BRPI0916880B1 (pt) 2008-08-04 2019-12-10 Agc Flat Glass Na Inc fonte de plasma e método de formar revestimento que utiliza deposição química a vapor melhorada de plasma e revestimento
CN101730374B (zh) * 2008-10-30 2012-05-09 财团法人工业技术研究院 等离子体系统
RU2466514C2 (ru) * 2011-02-09 2012-11-10 Государственное образовательное учреждение высшего профессионального образования "Камская государственная инженерно-экономическая академия" (ИНЭКА) Способ получения электрического разряда в парах электролита и устройство для его осуществления
EP3228161B1 (de) 2014-12-05 2021-11-03 AGC Flat Glass North America, Inc. Plasmaquelle mit einer makropartikelreduktionsbeschichtung und verfahren zur verwendung einer plasmaquelle mit einer makropartikelreduktionsbeschichtung zur abscheidung von dünnschichten und modifizierung von oberflächen
WO2016089424A1 (en) * 2014-12-05 2016-06-09 Agc Glass Europe, S.A. Hollow cathode plasma source
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
FR3115180B1 (fr) * 2020-10-14 2022-11-04 Peter Choi Appareil de génération de plasma

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3620214A1 (de) * 1985-06-17 1986-12-18 Československá akademie věd, Prag/Praha Verfahren und vorrichtung zur schaffung eines chemisch aktiven milieus fuer plasmochemische reaktionen, vor allem fuer die abscheidung duenner schichten
US4954751A (en) * 1986-03-12 1990-09-04 Kaufman Harold R Radio frequency hollow cathode
US5464667A (en) * 1994-08-16 1995-11-07 Minnesota Mining And Manufacturing Company Jet plasma process and apparatus
WO1996016531A1 (en) * 1994-11-18 1996-05-30 Surfcoat Oy An apparatus for generation of a linear arc discharge for plasma processing
DE4233895C2 (de) * 1992-10-08 1996-11-28 Juergen Prof Dr Engemann Vorrichtung zur Behandlung von durch einen Wickelmechanismus bewegten bahnförmigen Materialien mittels eines reaktiven bzw. nichtreaktiven, durch Hochfrequenz- oder Pulsentladung erzeugten Niederdruckplasmas
DE19541236A1 (de) * 1995-11-06 1997-05-07 Leybold Ag Vorrichtung zum Beschichten der Oberflächen von als Rotationskörper ausgeformten Substraten

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1246682B (it) * 1991-03-04 1994-11-24 Proel Tecnologie Spa Dispositivo a catodo cavo non riscaldato per la generazione dinamica di plasma
DE19505268C2 (de) * 1995-02-16 1999-02-18 Fraunhofer Ges Forschung CVD-Verfahren zur Beschichtung von Substratoberflächen

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3620214A1 (de) * 1985-06-17 1986-12-18 Československá akademie věd, Prag/Praha Verfahren und vorrichtung zur schaffung eines chemisch aktiven milieus fuer plasmochemische reaktionen, vor allem fuer die abscheidung duenner schichten
US4954751A (en) * 1986-03-12 1990-09-04 Kaufman Harold R Radio frequency hollow cathode
DE4233895C2 (de) * 1992-10-08 1996-11-28 Juergen Prof Dr Engemann Vorrichtung zur Behandlung von durch einen Wickelmechanismus bewegten bahnförmigen Materialien mittels eines reaktiven bzw. nichtreaktiven, durch Hochfrequenz- oder Pulsentladung erzeugten Niederdruckplasmas
US5464667A (en) * 1994-08-16 1995-11-07 Minnesota Mining And Manufacturing Company Jet plasma process and apparatus
WO1996016531A1 (en) * 1994-11-18 1996-05-30 Surfcoat Oy An apparatus for generation of a linear arc discharge for plasma processing
DE19541236A1 (de) * 1995-11-06 1997-05-07 Leybold Ag Vorrichtung zum Beschichten der Oberflächen von als Rotationskörper ausgeformten Substraten

Non-Patent Citations (10)

* Cited by examiner, † Cited by third party
Title
BARDOS, DUSEK, in: Thin Solid Films, Vol. 158, S. 265-270 (1988) *
BELKIND, LI, CLOW und JANSEN, F., in: Surface and coating Technology 76-77, S. 738-743 (1995) *
GÜNTHER-SCHULZE, in: Zeitschrift für Physik 30, S. 175-186 (1924) *
HORWITZ, in: Appl.Phys.Lett. 43 (10), S. 997-979 (1983) *
JANSEN, KUHMAN, TABER, C., in: J.Vac.Sci.Technol.,Vol. A7 (6), S. 3176-3182 (1989) *
KORZEC, SCHOTT, ENGEMANN, in: J.Vac.Sci.Technol. A13, S. 843-848 (1995) *
LEJEUNE, GRANDCHAMP, KESSI, GILLES, in: Vacuum 36,S. 837-480 (1986) *
LITTLE, ENGEL, in: Proc.R.Soc. 224, S. 209-227 (1954) *
MILDNER, KORZEC, HILLEMANN und ENGEMANN, in: DPG (VI) 31, S. 743 (1996) *
PILLOW, in: Spectrochimica Acta 36B, S. 821-843 (1981) *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10120405A1 (de) * 2001-04-25 2002-10-31 Je Plasmaconsult Gmbh Vorrichtung zur Erzeugung eines Niedertemperatur-Plasmas
DE10120405B4 (de) * 2001-04-25 2008-08-21 Je Plasmaconsult Gmbh Vorrichtung zur Erzeugung eines Niedertemperatur-Plasmas
DE10203543A1 (de) * 2002-01-29 2003-10-02 Je Plasmaconsult Gmbh Vorrichtung zur Erzeugung eines APG-Plasmas
DE10203543B4 (de) * 2002-01-29 2008-04-30 Je Plasmaconsult Gmbh Vorrichtung zur Erzeugung eines APG-Plasmas
DE102010027570B3 (de) * 2010-07-19 2011-11-10 Eagleburgmann Germany Gmbh & Co. Kg Faltenbalg-Kompensator
WO2012010264A1 (de) 2010-07-19 2012-01-26 Eagleburgmann Germany Gmbh & Co. Kg Faltenbalg-kompensator
EP3474635A1 (de) 2017-10-17 2019-04-24 Leibniz-Institut für Plasmaforschung und Technologie e.V. Modulares plasmastrahlbehandlungssystem

Also Published As

Publication number Publication date
EP0881865A2 (de) 1998-12-02
EP0881865B1 (de) 2002-09-18
DE19722624C2 (de) 2001-08-09
EP0881865A3 (de) 2000-06-28
DE59805573D1 (de) 2002-10-24

Similar Documents

Publication Publication Date Title
DE19722624C2 (de) Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets
EP0235770B1 (de) Vorrichtung zur Plasmabehandlung von Substraten in einer durch Hochfrequenz angeregten Plasmaentladung
EP0275018B1 (de) Verfahren und Vorrichtung zum Beschichten elektrisch leitender Gegenstände mittels Glimmentladung
DE4011933C2 (de) Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
EP2849204B1 (de) Plasmaerzeugungsvorrichtung
DE4025396A1 (de) Einrichtung fuer die herstellung eines plasmas
DE4039930A1 (de) Vorrichtung fuer plasmabehandlung
EP0727508A1 (de) Verfahren und Vorrichtung zur Behandlung von Substratoberflächen
WO2012073142A2 (de) Verfahren und vorrichtung zur ionenimplantation
DE4233895C2 (de) Vorrichtung zur Behandlung von durch einen Wickelmechanismus bewegten bahnförmigen Materialien mittels eines reaktiven bzw. nichtreaktiven, durch Hochfrequenz- oder Pulsentladung erzeugten Niederdruckplasmas
DE19713637C2 (de) Teilchenmanipulierung
EP0938595A1 (de) Verfahren und vorrichtung zur beschichtung von substraten mittels gasflusssputtern
EP1253621B1 (de) Vorrichtung zur Erzeugung eines Niedertemperatur-Plasmas
DE102005049266B4 (de) Vorrichtung und Verfahren zur Plasmabehandlung von Objekten
EP1872637A1 (de) Vorrichtung und verfahren zur plasmabeschichtung
DE102013107659B4 (de) Plasmachemische Beschichtungsvorrichtung
EP2425445B1 (de) Verfahren zur erzeugung eines plasmastrahls sowie plasmaquelle
DE102018113444B3 (de) Lineare Mikrowellen-Plasmaquelle mit getrennten Plasmaräumen
DE19727857C1 (de) Plasmarektor mit Prallströmung zur Oberflächenbehandlung
EP2751826A2 (de) Vorrichtung zur erzeugung von thermodynamisch kaltem mikrowellenplasma
DE3000451C2 (de)
EP1352417B1 (de) Vorrichtung zur plasmagestützten bearbeitung von oberflächen planarer substrate
DE102008062619B4 (de) Mikrowellenplasmaquelle und Verfahren zur Bildung eines linear langgestreckten Plasma bei Atmosphärendruckbedingen
DE19960092A1 (de) Beschichtungsverfahren
DE10032955A1 (de) Anordnung zur grossflächigen Erzeugung von Hochfrequenz-Niedertemperatur-Plasmen bei Atmosphärendruck

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee