DE19505818A1 - Verfahren zum Dotieren von Halbleiterkristallen, insbesondere von Siliziumkristallen - Google Patents

Verfahren zum Dotieren von Halbleiterkristallen, insbesondere von Siliziumkristallen

Info

Publication number
DE19505818A1
DE19505818A1 DE19505818A DE19505818A DE19505818A1 DE 19505818 A1 DE19505818 A1 DE 19505818A1 DE 19505818 A DE19505818 A DE 19505818A DE 19505818 A DE19505818 A DE 19505818A DE 19505818 A1 DE19505818 A1 DE 19505818A1
Authority
DE
Germany
Prior art keywords
crystal
doping
dopants
medium
implantation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE19505818A
Other languages
English (en)
Inventor
Ulrich Prof Dr Mohr
Rainer Dipl Phys Leihkauf
Kerstin Dipl Ing Jacob
Kurt Dipl Ing Baumann
Kirsten Born
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to DE19505818A priority Critical patent/DE19505818A1/de
Publication of DE19505818A1 publication Critical patent/DE19505818A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/20Doping by irradiation with electromagnetic waves or by particle radiation
    • C30B31/22Doping by irradiation with electromagnetic waves or by particle radiation by ion-implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/326Application of electric currents or fields, e.g. for electroforming

Description

Die Erfindung betrifft ein Verfahren zum Dotieren von Halbleiterkristal­ len, insbesondere im Zusammenhang mit der Herstellung von pn-Über­ gängen mit Tiefen im Bereich bis zu einigen 100 nm in Siliziumkristallen unter Benutzung von Verfahrenselementen der konventionellen Diffu­ sionsdotierung und der Ionenstrahltechnologie.
Ein gebräuchliches Verfahren zur Herstellung von pn-Übergängen niedri­ ger Eindringtiefe in Halbleiterkristallen ist die Ionenimplantation. Um bei Übergängen mit sehr niedriger Tiefenausdehnung störende Effekte auszu­ schließen, wie den Channeling-Effekt, der zu einer größeren geometri­ schen Unschärfe des pn-Übergangs führt, wurde von der Möglichkeit der Voramorphisierung von Kristallbereichen durch Ionenimplantation von im Kristall sich elektrisch neutral verhaltenden Stoffen bei nachfolgender Ionenimplantation der Störstellen erzeugenden Dotanten Gebrauch ge­ macht.
So wird in der DE-OS 35 27 098 zur Erhöhung des Emitterwirkungsgrades und zur Reduzierung der Basisweite von bipolaren Transistoren enthalten­ den komplementären MOS-Schaltungen, bei denen die Emitterbereiche gleichzeitig mit den Source/Drain-Bereichen der MOS-Transistoren durch Implantation von Bor- und/oder Phosphor-Ionen erzeugt werden, vorge­ schlagen, vor der Ionenimplantation für den Emitterbereich eine Amorphi­ sierung dieses Bereiches durch Implantation von im Kristall nicht elektrisch wirksamen Stoffen mit einer Atommasse größer/gleich der von Silizium durch­ zuführen. Das verringert den Channeling-Effekt, der zu Ungenauigkeiten in der Dotierung, d. h. auch im geometrischen Verlauf des pn-Überganges führt. Es schließt sich eine Temperatur-Ausheilbehandlung an.
Daß damit noch nicht alle Möglichkeiten der Verbesserung ausgeschöpft sind, wird in der DE-OS 40 35 842 deutlich gemacht. Hier wird ein drei­ stufiger Ausheilprozeß nach Abschluß der Mehrschritt-Implantation (Amorphisierung mit Germanium- oder Siliziumionen, danach Störstel­ lenimplantation) vorgeschlagen. Dabei liegt die erste Stufe bei einer Tem­ peratur von 400 bis 460°C und dauert ca. 30-50 min. Dieser Schritt soll eine Glättung des Übergangsbereiches zwischen der amorphen Schicht und dem kristallinen Material bewirken. In einem zweiten Schritt bei einer Temperatur zwischen 500 und 600°C und in einer Zeitspanne von 30-50 min rekristallisiert die amorphe Schicht. Die dritte Temperaturstu­ fe besteht aus einer Kurzzeitbehandlung im Bereich 1000-1200°C, 5-10 sec lang, um die Dotanten zu aktivieren.
Die Mehrfachimplantation kombiniert mit den nachfolgenden Mehrschritt- Temperaturbehandlungen stellt einen relativ großen Aufwand dar. Die Mehrfachimplantation ist deswegen notwendig, weil der amorphisierte Be­ reich tiefer in den Kristall hineinreichen muß als die danach implantierten Störstellen, da sonst wieder der Channeling-Effekt auftritt. Wegen des durch den amorphisierten Bereich verursachten Angebotes an Strukturbau­ fehlern und der diesen Einfluß nicht mindernden nachfolgenden Störstellenimplantation, kommt es bei der Temperaturbehandlung dennoch zu einer zusätzlichen Diffusion, die ja im Interesse einer genauen pn-Über­ gangspositionierung und Steilheit des Dotierungsprofils nach der sehr genau führbaren Störstellenimplantation nicht erwünscht ist (Verflachung der pn- Übergänge).
Aufgabe der Erfindung ist es, ein vereinfachtes Dotierverfahren anzugeben, welches bei sehr kleinen Eindringtiefen steile Diffusionsprofile liefert (im wesentlichen kleiner gleich 200 nm) insbesondere den Aufwand der Ionen­ implantation reduziert, welcher vor allem bei Halbleiterscheiben großer Durchmesser erheblich ist, und die störende Nachdiffusion verringert.
Die erfindungsgemäße Aufgabe wird dadurch gelöst, daß nach der Implan­ tation von im Kristall nicht elektrisch wirksamen Stoffen, die dem Zweck der Erzeugung von Gitterfehlern im Bereich der Ausbildung der elektrisch akti­ ven Schicht dient, der Kristall zumindest in den vorgestörten Bereichen mit einem die elektrisch aktiven Dotierstoffe enthaltenden Medium in Kontakt gebracht wird und in dieser Form einer Temperaturbehandlung bei relativ niedrigen Temperaturen unterhalb der Rekristallisationstemperatur unterzogen wird, wobei die elektrisch aktiven Dotanten in den Kristall eindringen, daß danach die Oberfläche des Kristalls gereinigt, d. h. von einer sich ggf. gebildeten Schicht befreit wird und der Kristall nachfolgend einer Kurzzeittemperung bei erhöhten Temperaturen unterzogen wird.
Es liegt dabei im Rahmen der Erfindung, daß die Implantate, die Dosis, die Energie der Störimplantation und die Ionenströme so gewählt bzw. eingestellt werden, daß dem jeweiligen Anwendungszweck entsprechend angepaßte Störstellenprofile erhalten werden.
Bei dieser Verfahrensweise werden die elektrisch wirksamen Störstellen nicht mehr durch Implantation in den Kristall eingebracht, wodurch der zweite Implantationsschritt entfällt. Die Anstörung oder auch Zerstörung (Amorphisierung) des Kristallaufbaus wird für das beschleunigte Ein­ dringen der Dotierstoffe bei relativ niedrigen Temperaturen ausgenutzt, wobei keine zusätzlichen Störungen verursacht werden, sondern schon eine Art Ausheilen von durch den Implantationsschritt der im Kristall nicht elektrisch wirksamen Atome verursachten Defekten vonstattengeht.
Es könnte so sein, daß die bei der Störstellen-Dotierung angewendete Er­ wärmung des Kristalls dafür verantwortlich ist, daß zwei konkurrierende Prozesse ablaufen. Der eine würde jener sein, der in der DE-OS 40 35 842 als Glättung des Übergangsbereiches zwischen der amorphen Schicht und dem kristallinen Material interpretiert wurde, der mit dem Ausheilen eines Teils der durch die Implantation erzeugten Kristallbaufehler verbunden ist, möglicherweise des Anteils, der sonst die ungünstige Nachdiffusion bei einem gewöhnlichen Ausheilprozeß verursacht. Der andere Vorgang besteht im be­ schleunigten Eindringen des später nach der Ausheilung elektrisch aktiven Dotierstoffes, bzw. der elektrisch aktiven Stoffe in die durch Implantation gestörten Kristallbereiche.
Beschleunigtes Eindringen von Bor und Phosphor wurde z. B. nach der Stör­ implantation von Fluorionen mit Energien von 60 bis 160 keV bei den unter­ schiedlichsten Dosiswerten (im Bereich unterhalb der Amorphisierungsdosis bis in Dosisbereiche weit über der Amorphisierung) registriert, wenn anschlie­ ßend an die Implantation die Scheiben im Spin-on-Verfahren mit Dotierflüssig­ keiten beschichtet und danach bei 150 bis 400°C getempert wurden. SIMS-Messungen der Dotantenverteilung zeigten, daß der Verlauf des Dotie­ rungsprofils in Abhängigkeit von den Implantationsdaten variiert. Es ergaben sich sowohl steile Profile geringer Eindringtiefe als auch flacher verlaufende, etwa im Bereich der Eindringtiefe xj= 50-200 nm, bei Oberflächenkonzen­ trationen zwischen 10¹⁸ cm-3 und 10²⁰ cm-3.
Die Implantationsstörungen durch die nicht elektrisch wirkenden Stoffe können unterschiedlich dosiert werden (Amorphisierung ist der Spezialfall) und bestimmen so den Profilverlauf mit.
Durch diese Verfahrensweise kann in einer Reihe von Anwendungen auch ohne Amorphisierung gearbeitet werden. In jedem Fall ist die thermische Belastung des Kristalls im Gesamtprozeß der Schichtdotierung verringert.
Durch Anlegen eines elektrischen Gleichfeldes zwischen dem die Störstellen- Dotanten liefernden Medium, z. B. wenn es sich um eine Dotantenquellenschicht auf der Oberfläche des Kristalles handelt, und dem zu dotierenden Kristall während des Dotiervorganges oder auch danach, kann die Störstellen-Verteilung (der Profilverlauf) beeinflußt werden.

Claims (13)

1. Verfahren zum Dotieren von Halbleiterkristallen, insbesondere von Siliziumkristallen zum Erzeugen einer Halbleiteranordnung, zu deren Her­ stellung in den oberflächennahen Bereich eines kristallinen Körpers sich im Kristallvolumen elektrisch neutral verhaltende Stoffe in Form von Ionen implantiert werden und nachfolgend die Dotierung mit Störstellen vorgenommen wird, dadurch gekennzeichnet, daß der durch Ionenimplan­ tation vorbehandelte kristalline Körper, zumindest in den implantierten Bereichen, mit einem den im Kristall elektrisch aktiven Dotierstoff oder mehrere Dotierstoffe enthaltenden Medium in Kontakt gebracht wird und in dieser Form einer Temperaturbehandlung bei Temperaturen unterhalb der Rekristallisationstemperatur unterzogen wird und danach eine Kurzzeittemperung bei einer zur Rekristallisation und elektrischen Aktivierung ausreichenden erhöhten Temperatur folgt.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß nach dem Inkontaktbringen der implantierten Kristallbereiche mit dem Dotierstoff und der Temperaturbehandlung bei Temperaturen unterhalb der Rekristallisationstemperatur und vor der Kurzzeittemperung bei erhöhter Temperatur die Oberfläche des Kristallkörpers gereinigt, ggf. von einer sich gebildeten Schicht vor der Reinigung befreit wird.
3. Verfahren nach Anspruch 1 und 2, dadurch gekennzeichnet, daß die im Kristall elektrisch neutralen Dotanten in Form von Fluor-Ionen eingeschossen werden.
4. Verfahren nach Anspruch 1 und 2, dadurch gekennzeichnet, daß die im Kristall elektrisch neutralen Dotanten in Form von Argon-Ionen eingeschossen werden.
5. Verfahren nach Anspruch 1 und 2, dadurch gekennzeichnet, daß die im Kristall elektrisch neutralen Dotanten in Form von Ionen von Ele­ menten der IV. Gruppe des periodischen Systems der Elemente eingeschossen werden, vorzugsweise Silizium und Germanium.
6. Verfahren nach Anspruch 1 und 2, dadurch gekennzeichnet, daß das Medium, welches die Dotierstoffe enthält, eine Dotierflüssigkeit ist.
7. Verfahren nach Anspruch 1 und 2, dadurch gekennzeichnet, daß das Medium, welches die Dotierstoffe enthält, gasförmig ist.
8. Verfahren nach Anspruch 1 und 2, dadurch gekennzeichnet, daß das Medium, welches die Dotierstoffe enthält, durch eine mittels eines Depositionsverfahrens erzeugte Oberflächenschicht gebildet wird.
9. Verfahren nach Anspruch 1 und 2, dadurch gekennzeichnet, daß die Kurzzeittemperung mit einem Lampenofen ausgeführt wird.
10. Verfahren nach Anspruch 1 und 2, dadurch gekennzeichnet, daß die Kurzzeittemperung mit Laserstrahlung vorgenommen wird.
11. Verfahren nach einem oder mehreren der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß zur Herstellung von örtlich in lateraler Richtung veränderlichen Dotierprofilen nach dem Implantationsschritt und vor dem Inkontaktbringen der implantierten Bereiche mit dem Dotier­ medium eine lokale, der lateral veränderlichen Dotierung entsprechende Zwischentemperung vorgenommen wird.
12. Verfahren nach Anspruch 1, 2 und 9, dadurch gekennzeichnet, daß die Zwischentemperung mit einem hinsichtlich seiner Bahn und Strahlungs­ daten gesteuerten Laser vorgenommen wird.
13. Verfahren nach Anspruch 1 und 2 und einem oder mehreren folgenden Ansprüchen, dadurch gekennzeichnet, daß nach der Implantation die Dotierung mit elektrisch aktiven Störstellen unter Einfluß eines zwischen dem Dotiermedium und dem Kristall wirkenden elektrischen Gleichfeldes vorgenommen wird.
DE19505818A 1995-02-09 1995-02-09 Verfahren zum Dotieren von Halbleiterkristallen, insbesondere von Siliziumkristallen Withdrawn DE19505818A1 (de)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE19505818A DE19505818A1 (de) 1995-02-09 1995-02-09 Verfahren zum Dotieren von Halbleiterkristallen, insbesondere von Siliziumkristallen

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19505818A DE19505818A1 (de) 1995-02-09 1995-02-09 Verfahren zum Dotieren von Halbleiterkristallen, insbesondere von Siliziumkristallen

Publications (1)

Publication Number Publication Date
DE19505818A1 true DE19505818A1 (de) 1995-09-07

Family

ID=7754533

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19505818A Withdrawn DE19505818A1 (de) 1995-02-09 1995-02-09 Verfahren zum Dotieren von Halbleiterkristallen, insbesondere von Siliziumkristallen

Country Status (1)

Country Link
DE (1) DE19505818A1 (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1012879A4 (de) * 1997-01-31 2000-06-28 Ultratech Stepper Inc Herstellungsverfahren für integrierte scholthreise mit reduzierter dimension
DE102004026111A1 (de) * 2004-05-28 2005-12-22 Infineon Technologies Ag Verfahren zum Herstellen einer Festkörperelektrolytspeicherzelle
DE102005012047A1 (de) * 2005-03-16 2006-09-28 Infineon Technologies Ag Festkörperelektrolyt-Speicherelement und Verfahren zur Herstellung eines solchen Speicherlements

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1012879A4 (de) * 1997-01-31 2000-06-28 Ultratech Stepper Inc Herstellungsverfahren für integrierte scholthreise mit reduzierter dimension
EP1012879A2 (de) * 1997-01-31 2000-06-28 Ultratech Stepper Inc. Herstellungsverfahren für integrierte scholthreise mit reduzierter dimension
DE102004026111A1 (de) * 2004-05-28 2005-12-22 Infineon Technologies Ag Verfahren zum Herstellen einer Festkörperelektrolytspeicherzelle
DE102005012047A1 (de) * 2005-03-16 2006-09-28 Infineon Technologies Ag Festkörperelektrolyt-Speicherelement und Verfahren zur Herstellung eines solchen Speicherlements
KR100870054B1 (ko) * 2005-03-16 2008-11-24 인피니언 테크놀로지스 아게 고체 전해질 메모리 소자 및 이러한 메모리 소자를제조하는 방법
US7772614B2 (en) 2005-03-16 2010-08-10 Qimonda Ag Solid electrolyte memory element and method for fabricating such a memory element

Similar Documents

Publication Publication Date Title
DE69730019T2 (de) Kontrolle der p-n-übergangstiefe und kanallänge durch erzeugung von die dotierstoffdiffusion hemmenden zwischengitterstellen-gradienten
EP2058846B1 (de) Verfahren zur Herstellung einer n-dotierten Zone in einem Halbleiterwafer und Halbleiterbauelement
DE4035842C2 (de)
DE102008022502B4 (de) Verfahren zum Herstellen eines Halbleiterelements in einem Substrat
DE2707693C3 (de) Verfahren zum Herstellen von dotierten Zonen einer bestimmten Leitungsart in einem Halbleitersubstrat mittels Ionenimplantation
DE2312061A1 (de) Transistorherstellungsverfahren
DE2917455A1 (de) Verfahren zur vollstaendigen ausheilung von gitterdefekten in durch ionenimplantation von phosphor erzeugten n-leitenden zonen einer siliciumhalbleitervorrichtung und zugehoerige siliciumhalbleitervorrichtung
DE10205323A1 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102004030268B4 (de) Verfahren zum Herstellen eines Halbleiterelements
DE3047342A1 (de) Polykristalliner siliziumfilm und verfahren zu seiner herstellung
DE3138140C2 (de)
DE102008047829A1 (de) Verfahren zum Herstellen eines Halbleiterelements in einem Substrat und ein Halbleiterelement
DE102004039209A1 (de) Verfahren zur Herstellung einer n-dotierten Feldstoppzone in einem Halbleiterkörper und Halbleiterbauelement mit einer Feldstoppzone
EP0159617B1 (de) Verfahren zum Herstellen von hochintegrierten MOS-Feldeffekttransistoren
DE102004039208B4 (de) Verfahren zur Herstellung eines Leistungsbauelements mit einer vergrabenen n-dotierten Halbleiterzone und Leistungsbauelement
DE102016114264A1 (de) Herstellungsverfahren einschliesslich einer aktivierung von dotierstoffen und halbleitervorrichtungen mit steilen übergängen
DE10245091A1 (de) Verfahren zur Herstellung einer dünnen Halbleiterbauelementstruktur
DE102007019551B4 (de) Halbleiterbauelement und Verfahren zur Herstellung desselben
DE19505818A1 (de) Verfahren zum Dotieren von Halbleiterkristallen, insbesondere von Siliziumkristallen
DE2912535A1 (de) Verfahren zur herstellung eines mis-feldeffekt-transistors mit einstellbarer, extrem kurzer kanallaenge
DE2162219A1 (de) Verfahren zum Herstellen eines Feldeffekttransistors
DE19543922A1 (de) Verfahren zum Herabsetzen der Trägerspeicherladung in Halbleiterbauelementen
DE10260286B4 (de) Verwendung eines Defekterzeugnungsverfahrens zum Dotieren eines Halbleiterkörpers
DE2704471A1 (de) Verfahren zur herstellung einer halbleiteranordnung und anordnung, die durch anwendung dieses verfahrens hergestellt ist
DE2827330C2 (de) Verfahren zur Verminderung des Breitbandrauschens

Legal Events

Date Code Title Description
OAV Publication of unexamined application with consent of applicant
8139 Disposal/non-payment of the annual fee