DE112020006806B4 - Dünnfilmwiderstand (TFR), hergestellt in einer integrierten Schaltungsvorrichtung unter Verwendung von Nassätzung einer dielektrischen Deckschicht - Google Patents

Dünnfilmwiderstand (TFR), hergestellt in einer integrierten Schaltungsvorrichtung unter Verwendung von Nassätzung einer dielektrischen Deckschicht Download PDF

Info

Publication number
DE112020006806B4
DE112020006806B4 DE112020006806.4T DE112020006806T DE112020006806B4 DE 112020006806 B4 DE112020006806 B4 DE 112020006806B4 DE 112020006806 T DE112020006806 T DE 112020006806T DE 112020006806 B4 DE112020006806 B4 DE 112020006806B4
Authority
DE
Germany
Prior art keywords
tfr
layer
metal
over
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112020006806.4T
Other languages
English (en)
Other versions
DE112020006806T5 (de
Inventor
Paul Fest
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microchip Technology Inc
Original Assignee
Microchip Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Microchip Technology Inc filed Critical Microchip Technology Inc
Publication of DE112020006806T5 publication Critical patent/DE112020006806T5/de
Application granted granted Critical
Publication of DE112020006806B4 publication Critical patent/DE112020006806B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C17/00Apparatus or processes specially adapted for manufacturing resistors
    • H01C17/30Apparatus or processes specially adapted for manufacturing resistors adapted for baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C17/00Apparatus or processes specially adapted for manufacturing resistors
    • H01C17/006Apparatus or processes specially adapted for manufacturing resistors adapted for manufacturing resistor chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C17/00Apparatus or processes specially adapted for manufacturing resistors
    • H01C17/06Apparatus or processes specially adapted for manufacturing resistors adapted for coating resistive material on a base
    • H01C17/075Apparatus or processes specially adapted for manufacturing resistors adapted for coating resistive material on a base by thin film techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C7/00Non-adjustable resistors formed as one or more layers or coatings; Non-adjustable resistors made from powdered conducting material or powdered semi-conducting material with or without insulating material
    • H01C7/006Thin film resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49082Resistor making

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Verfahren zum Ausbilden eines integrierten Dünnschichtwiderstands (TFR) in einer integrierten Halbleiterschaltungsvorrichtung (10), wobei das Verfahren aufweist:Ausbilden einer integrierten Schaltungs- (IC-) Struktur, die eine Vielzahl von IC-Elementen (12) und eine Vielzahl von leitenden IC-Elementkontakten (14) beinhaltet, die mit der Vielzahl von IC-Elementen (12) verbunden sind;Ausbilden einer TFR-Filmschicht (34) über der ausgebildeten IC-Struktur;Ausbilden einer dielektrischen TFR-Schicht (36) über der TFR-Filmschicht (34);Durchführen eines ersten Ätzens zum Entfernen ausgewählter oder freigelegter Abschnitte der dielektrischen TFR-Schicht (36), um dadurch eine dielektrische TFR-Deckschicht (36A) über der TFR-Filmschicht (34) zu definieren, wobei das erste Ätzen an der TFR-Filmschicht (34) stoppt und wobei das erste Ätzen geneigte Seitenkanten (44) der dielektrischen TFR-Deckschicht definiert,Durchführen eines zweiten Ätzens zum Entfernen ausgewählter oder freigelegter Abschnitte der TFR-Filmschicht (34), um dadurch ein TFR-Element (34A) zu definieren, wobei die geneigten Seitenkanten (44) der dielektrischen TFR-Deckschicht (36A) über jeweiligen Seitenkanten des TFR-Elements (34A) ausgerichtet sind;Durchführen eines dritten Ätzens, um TFR-Kontaktöffnungen (56) in der dielektrischen TFR-Deckschicht (36A) über dem TFR-Element (34A) auszubilden; undAusbilden einer Metallschicht (60), die sich über den leitenden Kontakten (14) des IC-Elements erstreckt und dabei lateralen Seiten (48) des TFR Elements (34A) kontaktiert, und sich über der dielektrischen TFR-Deckschicht (36A) erstreckt und sich in die TFR-Kontaktöffnungen (56) erstreckt und in Kontakt mit dem TFR-Element (34A) steht; undzu einem Zeitpunkt nach dem Ausbilden der TFR-Filmschicht (34) und vor dem Ausbilden der Metallschicht (60), Tempern der TFR-Filmschicht (34) oder des TFR-Elements (34A).

Description

  • Die vorliegende Offenbarung bezieht sich auf das Ausbilden von Dünnschichtwiderständen, z. B. Systeme und Verfahren zum Ausbilden eines Dünnschichtwiderstands, der in eine integrierte Halbleiterschaltungs- (IC-) Vorrichtung integriert ist.
  • Viele integrierte Schaltungs- („IC“) Vorrichtungen beinhalten Dünnschichtwiderstände (TFRs), die verschiedene Vorteile gegenüber anderen Arten von Widerständen bieten. Beispielsweise können TFRs sehr genau sein und können fein abgestimmt werden, um einen sehr genauen Widerstandswert bereitzustellen. Als weiteres Beispiel haben TFRs typischerweise kleinere parasitäre Komponenten, was ein vorteilhaftes Hochfrequenzverhalten bereitstellt. Außerdem haben TFRs typischerweise einen niedrigen Temperaturkoeffizienten des Widerstands (TCR), z. B. nach einem geeigneten Glühprozess, um den TCR auf einen Wert nahe null „abzustimmen“, was einen stabilen Betrieb über einen weiten Bereich von Betriebstemperaturen bereitstellen kann. Ein TFR-Tempern kann bei über 500°C durchgeführt werden, z. B. im Bereich von 500-525°C, um den TCR-Wert zu optimieren.
  • Ein TFR kann jeden geeigneten Widerstandsfilm beinhalten, der auf oder in einem isolierenden Substrat ausgebildet ist. Einige übliche IC-integrierte TFR-Widerstandsfilmmaterialien weisen SiCr, SiCCr, TaN und TiN auf, obwohl beliebige andere geeignete Materialien verwendet werden können. Das Herstellen integrierter TFRs erfordert typischerweise das Hinzufügen zahlreicher Verarbeitungen zum IC-Integrationshintergrundablauf, beispielsweise mehrere teure Fotomaskenprozesse. Es wäre vorteilhaft, die Anzahl solcher Schritte zu reduzieren, insbesondere die Anzahl der Fotomaskenprozesse, um die Kosten der integrierten TFR-Fertigung zu reduzieren.
  • Ein weiteres Problem betrifft das Ausbilden und Tempern von TFRs in IC-Vorrichtungen, die Aluminiumverbindungsschichten verwenden (z. B. Verbindungsschichten, die aus Aluminium, Aluminiumkupfer oder Aluminiumsiliziumkupfer ausgebildet sind), aufgrund des relativ niedrigen Schmelzpunkts von Aluminium. Eine gemeinsame Aluminium-Verbindungsschicht wird als Schichtstapel ausgebildet, beispielsweise eine Ti-Schicht, gefolgt von einer TiN-Schicht, gefolgt von einer AlSiC-Schicht (oder AlCu- oder Al-Schicht), gefolgt von einer zweiten Ti-Schicht und schließlich einem zweiten TiN Schicht. Ein typisches TFR-Tempern, das Temperaturen bei oder über 500°C aufweisen kann, kann eine solche Aluminiumverbindung negativ beeinflussen, die eine akzeptierte Glühtemperaturgrenze von etwa 450°C aufweist. Zum Beispiel kann sich in einem oben beschriebenen Aluminium-Verbindungsschichtstapel, wenn ein TFR nach dem Ausbilden einer Aluminiumverbindung ausgebildet und getempert wird (z. B. bei einer Temperatur von 500 °C oder darüber), TiAl3 an Korngrenzen innerhalb des Verbindungsschichtstapels ausbilden, was den Schichtwiderstand der Zwischenverbindung erhöht (z. B. um einen Faktor von 50 oder mehr), was Elektromigrationsprobleme in der IC-Struktur verursachen kann.
  • Aus der US Patentanmeldung US 2010/0295149 ist eine integrierte Schaltungsstruktur mit einem Kondensator und Widerstand und ein Verfahren zu dessen Herstellung bekannt. Aus der US Patentanmeldung US 2019/0392967 ist ein Dünnfilmwiderstands-modul (TFR)mit oben-seitigen Verbindungen an reduzierten TFR-Kanten und ein Verfahren zu dessen Herstellung bekannt. Aus dem US Patent US 10,276,648 ist ein Plasmabehandlung für Dünnfilmwiderstände in integrierten Schaltungen bekannt.
  • Ausführungsformen der vorliegenden Erfindung behandeln verschiedene Probleme mit herkömmlichen TFR-Integrationen durch Ausbilden eines Dünnschichtwiderstands (TFR) nach dem Ausbilden von IC-Elementen (z. B. Speichervorrichtungen) und Kontakten (z. B. Wolframdurchkontaktierungen), aber vor dem Ausbilden einer ersten Metall-/Verbindungsschicht, oft als „Metall-1“-Schicht bezeichnet. Diese und andere Aufgaben werden durch den unabhängigen Anspruch gelöst. Weiterbildungen sind Kennzeichen der Unteransprüche.
  • Durch Ausbilden der TFR vor dem Ausbilden der Metall-1-Schicht kann ein TFR-Tempern bei Temperaturen durchgeführt werden, die das Material der Metall-1-Schicht negativ beeinflussen würden, beispielsweise wenn Aluminium (oder ein anderes Metall mit niedriger Schmelztemperatur) dafür verwendet wird. Somit ermöglicht das Ausbilden des TFR vor dem Ausbilden der Metall-1-Schicht (z. B. Aluminium-Metall-1-Schicht) ein TFR-Tempern bei optimaler Temperatur (z. B. um einen TCR-Wert des TFR-Films zu optimieren), beispielsweise ein Tempern bei oder über 500° C (z. B. im Bereich von 500-525°C). Somit ermöglichen Ausführungsformen der vorliegenden Erfindung Ausbildung und optimales Tempern eines TCR in einem IC-Produktionsfluss, der eine Aluminiumverbindung verwendet.
  • Wie hierin verwendet, kann „Ausbilden“ einer bestimmten Materialschicht (oder einer anderen Struktur) das Abscheiden der jeweiligen Materialschicht, das Aufwachsen der jeweiligen Materialschicht (z. B. das Aufwachsen einer Oxidschicht) oder das anderweitige Ausbilden der jeweiligen Materialschicht aufweisen und kann verschiedene Prozessschritte aufweisen, die gemäß Stand der Technik in Bezug auf das Ausbilden verschiedener Typen von Schichten in einer IC-Struktur bekannt sind.
  • Außerdem kann ein „Ätzprozess“, wie er hier verwendet wird, einen einzelnen Ätzvorgang oder mehrere Ätzvorgänge aufweisen, die unterschiedliche Ätzchemien oder andere Ätzparameter aufweisen können.
  • In einigen Ausführungsformen weist der Prozess zum Ausbilden des TFR nur zwei hinzugefügte Fotomasken zum Hintergrund-IC-Produktionsablauf (d. h. dem IC-Produktionsablauf ohne Ausbilden des TFR) auf.
  • In einigen Ausführungsformen weist der offenbarte Prozess zum Ausbilden eines TFR in einer IC-Vorrichtung das Ausbilden einer Deckoxidschicht über einem TFR-Film (z. B. einem SiCCr-Film) und das Durchführen eines Nassätzens zum Entfernen von Abschnitten der Deckoxidschicht auf, wodurch eine Oxiddeckschicht über dem TFR-Film ausgebildet wird. Das Nassätzen (im Vergleich zu einem Trockenätzen) kann geneigte (d. h. nicht vertikale) Seitenkanten der Oxiddeckschicht über dem TFR-Film ausbilden. Die geneigten Kanten der Oxiddeckschicht können das Entfernen von Metall (z. B. Teilen der abgeschiedenen Metall-1-Schicht) angrenzend an das TFR-Element begünstigen (z. B. erleichtern), um in der fertigen Vorrichtung elektrische Kurzschlüsse (häufig als „Stringer“ bezeichnet) zu verhindern.
  • In einem Aspekt der Erfindung wird ein Verfahren zum Ausbilden eines integrierten Dünnschichtwiderstands (TFR) in einer integrierten Halbleiterschaltungsvorrichtung bereitgestellt. Es wird eine Struktur einer integrierten Schaltung (IC) ausgebildet, die eine Vielzahl von IC-Elementen und eine Vielzahl von mit der Vielzahl von IC-Elementen verbundene leitfähige IC-Elementkontakte beinhaltet. Über der IS-Struktur wird eine TFR-Filmschicht ausgebildet, und über der TFR-Filmschicht wird eine dielektrische TFR-Schicht ausgebildet. Ein Nassätzen wird durchgeführt, um ausgewählte Abschnitte der dielektrischen TFR-Schicht zu entfernen, wodurch eine dielektrische TFR-Deckschicht über der TFR-Filmschicht verbleibt, wobei das Nassätzen an der TFR-Filmschicht stoppt und wobei das Nassätzen geneigte Seitenkanten der dielektrischen TFR-Deckschicht definiert. Eine TFR-Ätzung wird durchgeführt, um ausgewählte Teile der TFR-Filmschicht zu entfernen (z. B. diejenigen, die nicht unter der dielektrischen TFR-Deckschicht liegen), um dadurch ein TFR-Element zu definieren, wobei die geneigten seitlichen Kanten der dielektrischen TFR-Deckschicht über jeweiligen seitlichen Kanten des TFR-Elements ausgerichtet sind. Dann wird ein TFR-Kontaktätzen durchgeführt, um TFR-Kontaktöffnungen in der dielektrischen TFR-Deckschicht über dem TFR-Element auszubilden, und eine Metallschicht (z. B. „Metall-1“-Schicht) wird über den leitfähigen Kontakten des IC-Elements und über der dielektrischen TFR-Deckschicht abgeschieden und erstreckt sich in die TFR-Kontaktöffnungen und steht mit dem TFR-Element in Kontakt.
  • Ein TFR-Tempern wird einige Zeit nach dem Ausbilden der TFR-Filmschicht, aber vor dem Abscheiden der Metallschicht durchgeführt, z. B. um einen thermischen Widerstandskoeffizienten (TCR) der TFR-Filmschicht zu verringern. Beispielsweise kann ein TFR-Tempern nach dem Ausbilden der TFR-Filmschicht und der dielektrischen TFR-Schicht, aber vor dem Nassätzen zum Definieren der dielektrischen TFR-Deckschicht durchgeführt werden, oder kann nach dem TFR-Ätzen durchgeführt werden, das das TFR-Element definiert, oder zu einem beliebigen anderen Zeitpunkt nach dem Ausbilden der TFR-Filmschicht, aber vor dem Abscheiden der Metallschicht.
  • In einigen Ausführungsformen weist der Schritt des Ausbildens der Metallschicht das Abscheiden einer konformen Metallschicht über der dielektrischen TFR-Deckschicht und das Durchführen einer Metallätzung auf, um ausgewählte Teile der konformen Metallschicht zu entfernen. Die abgeschiedene konforme Metallschicht weist einen geneigten Metallbereich auf, der sich über eine jeweilige geneigte Seitenkante der dielektrischen TFR-Deckschicht erstreckt, wobei der geneigte Metallbereich an einer ersten Stelle neben einer jeweiligen Seitenkante des TFR-Elements eine geringere Höhe aufweist als an einer zweiten Stelle über einer oberen Oberfläche der dielektrischen TFR-Deckschicht. Das Metallätzen zum Entfernen ausgewählter Abschnitte der konformen Metallschicht weist das Entfernen eines Abschnitts des geneigten Metallbereichs an der ersten Stelle benachbart zu der jeweiligen Seitenkante des TFR-Elements auf. Die geringere Höhe des geneigten Metallbereichs an der ersten Stelle kann eine reduzierte Ätzdauer oder -intensität ermöglichen, um die volle Dicke des geneigten Metallgebiets an der ersten Stelle zu entfernen, z. B. im Vergleich zu einer ähnlichen Struktur, in der die dielektrische TFR-Deckschicht vertikale Seitenkanten (d. h. rechteckige Kanten) anstelle von geneigten Seitenkanten aufweist, die durch das Nassätzen der dielektrischen TFR-Schicht erzeugt werden.
  • In einer Ausführungsform weist die integrierte Schaltungsstruktur eine Speicherzellen- oder Transistorstruktur auf, die zumindest einen leitfähigen IC-Elementkontakt aufweist, der mit zumindest einem von einem Source-Bereich, einem Drain-Bereich und einem Gate-Bereich der Speicherzellen- oder Transistorstruktur verbunden ist.
  • In einigen Ausführungsformen weist die TFR-Filmschicht Siliziumkarbidchrom (SiCCr), Siliziumchrom (SiCr), Chromsiliziumnitrid (CrSiN), Tantalnitrid (TaN), Tantalsilizid (Ta2Si) oder Titannitrid (TiN) auf.
  • In einer Ausführungsform weist die Metallverbindungsschicht Aluminium auf.
  • In einer Ausführungsform weist die dielektrische TFR-Schicht eine Oxidschicht auf.
  • In einer Ausführungsform wird eine Ätzstoppschicht über der IC-Struktur vor dem Ausbilden der TFR-Filmschicht ausgebildet, so dass die TFR-Filmschicht in einer Ausführungsform über der Ätzstoppschicht ausgebildet wird.
  • In einer Ausführungsform weist das TFR-Ätzen ein Trockenätzen auf.
  • In einer Ausführungsform weist auf das TFR-Tempern ein Tempern bei einer Temperatur von zumindest 500°C. Beispielsweise kann das TFR-Tempern ein Tempern bei einer Temperatur von 515°C ± 10°C für eine Dauer von 15-60 Minuten (z. B. 30 min) aufweisen.
  • In einem anderen Aspekt der Erfindung wird ein Verfahren zum Ausbilden eines integrierten Dünnschichtwiderstands (TFR) in einer integrierten Halbleiterschaltungsvorrichtung bereitgestellt. Es wird eine Struktur einer integrierten Schaltung (IC) ausgebildet, die eine Vielzahl von IC-Elementen und eine Vielzahl von mit der Vielzahl von IC-Elementen verbundenen leitfähigen IC-Elementkontakten beinhaltet. Eine erste Ätzstoppschicht wird über der IC-Struktur ausgebildet. Über der ersten Ätzstoppschicht wird eine TFR-Filmschicht ausgebildet, und über der TFR-Filmschicht wird eine dielektrische TFR-Schicht ausgebildet. Eine erste Fotomaske wird über einem Abschnitt der dielektrischen TFR-Schicht ausgebildet und gemustert. Ein erster Ätzprozess wird durchgeführt, um freigelegte Teile der dielektrischen TFR-Schicht zu entfernen, wodurch eine dielektrische TFR-Deckschicht unter der ersten Fotomaske und über der TFR-Filmschicht zurückbleibt. Der erste Ätzprozess kann eine Nassätzung aufweisen, die an dem TFR-Film stoppt, und die Nassätzung kann geneigte Seitenkanten der dielektrischen TFR-Deckschicht definieren, z. B. wie oben erörtert. Ein zweites Trockenätzen wird durchgeführt, um freigelegte Abschnitte der TFR-Filmschicht zu entfernen, um dadurch ein TFR-Element zu definieren. Eine zweite Fotomaske wird ausgebildet und gemustert, wobei zumindest eine zweite Maskenöffnung über dem TFR-Element ausgerichtet ist. Ein dritter Ätzprozess wird durchgeführt, um zumindest eine TFR-Kontaktöffnung in der dielektrischen TFR-Deckschicht über dem TFR-Element auszubilden. Eine Metallverbindungsschicht (z. B. „Metall-1“-Schicht) wird über der Vielzahl von leitfähigen IC-Elementkontakten und über der dielektrischen TFR-Deckschicht und dem zugrunde liegenden TFR-Element ausgebildet, so dass sich die ausgebildete Metallverbindungsschicht in die zumindest eine TFR-Kontaktöffnung erstreckt, um das zugrunde liegende TFR-Element zu kontaktieren. Eine dritte Fotomaske wird ausgebildet und strukturiert. Schließlich wird ein vierter Ätzprozess durchgeführt, um ausgewählte Abschnitte der Metallverbindungsschicht zu entfernen, um dadurch eine Vielzahl von Metallverbindungselementen zu definieren.
  • Ein TFR-Tempern wird einige Zeit nach dem Ausbilden der TFR-Filmschicht, aber vor dem Ausbilden der Metallverbindungsschicht durchgeführt, z. B. um einen thermischen Widerstandskoeffizienten (TCR) der TFR-Filmschicht zu verringern. Beispielsweise kann ein TFR-Tempern vor oder nach dem ersten Ätzprozess, vor oder nach dem zweiten Ätzprozess, vor oder nach dem dritten Ätzprozess oder zu einem beliebigen anderen Zeitpunkt nach dem Ausbilden der TFR-Filmschicht, aber vor dem Ausbilden der Metallverbindungsschicht durchgeführt werden.
  • In einigen Ausführungsformen können, wie oben besprochen, die geneigten Seitenkanten des TFR-Dielektrikums das Entfernen von Metall (z. B. Teilen der abgeschiedenen Metall-1-Schicht) angrenzend an das TFR-Element begünstigen (z. B. erleichtern), um elektrische Kurzschlüsse (oft als „Stringer“ bezeichnet) in der fertigen Vorrichtung zu verhindern.
  • In einer Ausführungsform weist die integrierte Schaltungsstruktur eine Speicherzellen- oder Transistorstruktur auf, die zumindest einen leitfähigen IC-Elementkontakt aufweist, der mit zumindest einem von einem Source-Bereich, einem Drain-Bereich und einem Gate-Bereich der Speicherzelle oder der Transistorstruktur verbunden ist.
  • In einigen Ausführungsformen weist die TFR-Filmschicht Siliziumkarbidchrom (SiCCr), Siliziumchrom (SiCr), Chromsiliziumnitrid (CrSiN), Tantalnitrid (TaN), Tantalsilizid (Ta2Si) oder Titannitrid (TiN) auf.
  • In einer Ausführungsform weist die Metallverbindungsschicht Aluminium auf.
  • In einer Ausführungsform weist auf die dielektrische TFR-Schicht eine Oxidschicht.
  • In einer Ausführungsform wird das TFR-Tempern vor dem Ausbilden der Metallverbindungsschicht durchgeführt.
  • In einigen Ausführungsformen weist das TFR-Tempern ein Tempern bei einer Temperatur im Bereich von 500-525°C auf. Beispielsweise weist das TFR-Tempern in einigen Ausführungsformen ein Tempern bei einer Temperatur von 515°C ± 10°C für eine Dauer von 15-60 Minuten (z. B. 30 Minuten) auf.
  • In einer Ausführungsform weist der dritte Ätzprozess eine Nassätzung auf. In einer anderen Ausführungsform weist der dritte Ätzprozess ein Trockenätzen auf.
  • In einer Ausführungsform definiert der vierte Ätzprozess ein TFR-Zwischenverbindungselement, das eine leitende Verbindung zwischen dem TFR-Element und zumindest einem der Vielzahl von leitenden IC-Elementkontakten bereitstellt.
  • In einem anderen Aspekt wird eine Halbleitervorrichtung bereitgestellt, die einen gemäß dem offenbarten Prozess hergestellten Dünnfilmwiderstand (TFR) beinhaltet.
  • Beispielhafte Aspekte der vorliegenden Offenbarung werden nachstehend in Verbindung mit den Figuren beschrieben, in denen:
    • 1 bis 12 Schritte eines beispielhaften Verfahrens zum Integrieren eines Dünnschichtwiderstands (TFR) in eine integrierte Halbleiterschaltungs- (IC-) Vorrichtung gemäß einer beispielhaften Ausführungsform der Erfindung veranschaulichen; und
    • 13A - 13C und 14A - 14C veranschaulichen, wie geneigte Seitenkanten einer TFR-Oxiddeckschicht das Auftreten von elektrischen Kurzschlüssen (häufig als „Stringer“ bezeichnet) in einem integrierten TFR verhindern oder verringern können. Insbesondere zeigen 13A bis 13C ein Entfernen ausgewählter Teile einer Metallschicht, die über einer TFR-Oxiddeckschicht mit geneigten Seitenkanten abgeschieden ist, während 14A bis 14C ein Entfernen ausgewählter Teile einer Metallschicht zeigen, die über einer TFR-Oxiddeckschicht abgeschieden ist, die vertikale („rechteckige“) Seitenkanten aufweist.
  • Es versteht sich, dass die Bezugsziffer für jedes dargestellte Element, das in mehreren unterschiedlichen Figuren erscheint, über die mehreren Figuren hinweg die gleiche Bedeutung aufweist, und dass die Erwähnung oder Erörterung eines beliebigen dargestellten Elements hierin im Kontext einer beliebigen bestimmten Figur auch für jede andere Figur gilt, falls vorhanden, in der das gleiche dargestellte Element gezeigt wird.
  • Ausführungsformen der vorliegenden Erfindung stellen eine verbesserte Technik zum Integrieren eines Dünnschichtwiderstands (TFR) in eine integrierte Halbleiterschaltungs- (IC- ) Vorrichtung bereit, die eine Kostenreduzierung im Vergleich zu herkömmlichen Techniken bereitstellen kann, z. B. indem eine TFR-Integration in Kombination mit Aluminiumverbindung ermöglicht wird. In einigen Ausführungsformen wird der TFR ausgebildet, nachdem IC-Elemente und IC-Element-Kontakte (z. B. Wolframdurchkontaktierungen) ausgebildet sind, aber bevor die erste Metall-/Verbindungsschicht („Metall-1“-Schicht) ausgebildet wird. Dies kann ermöglichen, dass ein TFR-Tempern durchgeführt wird (z. B. um den TCR-Wert des TFR-Films zu optimieren), beispielsweise bei einer Temperatur von 500°C oder darüber (z. B. im Bereich von 500-525°C). Somit kann ein getemperter TFR in eine IC-Vorrichtung integriert werden, die eine Aluminiumverbindung verwendet, da die Aluminiumverbindung (die im Allgemeinen die hohen Temperaturen, die während eines typischen TFR-Temperns auftreten, nicht toleriert) erst nach dem TFR-Tempern ausgebildet wird.
  • Weiterhin kann der TFR in einigen Ausführungsformen eine über einem TFR-Element (z. B. SiCCr-Element) ausgebildete Oxiddeckschicht beinhalten, wobei das Deckoxid geneigte Seitenkanten beinhaltet, die über Seitenkanten des TFR-Elements ausgerichtet sind, was das Auftreten von elektrischen Kurzschlüssen (häufig als „Stringer“ bezeichnet) zwischen dem TFR-Element und benachbarten Metallstrukturen (z. B. Metall-1-Strukturen) während des Betriebs der IC-Vorrichtung verhindern oder verringern kann. In einigen Ausführungsformen kann das Deckoxid mit geneigten Seitenkanten ausgebildet werden, indem eine Deckoxidschicht über einem TFR-Film ausgebildet wird und ein Nassätzen durchgeführt wird, um eine Oxiddeckschicht mit geneigten Seitenkanten zu definieren.
  • 1 bis 12 veranschaulichen ein beispielhaftes Verfahren zum Integrieren eines Dünnschichtwiderstands (TFR) in eine integrierte Halbleiterschaltungs- (IC-) Vorrichtung gemäß einer beispielhaften Ausführungsform.
  • 1 veranschaulicht eine beispielhafte Struktur 10 einer integrierten Schaltung (IC), z. B. während der Herstellung einer IC-Vorrichtung. In diesem Beispiel weist die IC-Struktur 10 eine Transistorstruktur 12 auf, die über einem Substrat 13 ausgebildet ist, mit einer Vielzahl von leitfähigen Kontakten 14, z. B. Wolframdurchkontaktierungen, die sich durch einen Bulk-Isolationsbereich 20 erstrecken, der über der Transistorstruktur 12 ausgebildet ist. Die Struktur 10 kann jedoch beliebige andere IC-Vorrichtungen oder -Strukturen aufweisen, z. B. eine oder mehrere vollständige oder teilweise Speicherzellen oder Speicherzellenstrukturen, und leitende Kontakte, die solchen Strukturen zugeordnet sind. In dieser beispielhaften Ausführungsform weist der Bulk-Isolationsbereich 20 (a) eine hochdichte Plasma- (HDP-) Vormetall-Dielektrikum- (PMD-) Oxidschicht 20A (z. B. ausgebildet nach einem CMP), (b) einen PMD-Oxidfilm 20B, z. B. PMD P TEOS (Phosphor-dotierter Tetraethylorthosilikatfilm), und (c) eine PMD-Deckschicht 20C auf.
  • Fig, 1 kann einen Zustand während eines IC-Fertigungsprozesses nach der Ausbildung von Wolframdurchkontaktierungen 14 und einem chemisch-mechanischen Polierprozess (W CMP) an der Oberseite der Struktur 10 darstellen.
  • Als nächstes wird, wie in 2 gezeigt, ein TFR-Schichtstapel 30 über dem Bulk-Isolationsbereich 20 und den leitfähigen Kontakten 14 ausgebildet. Zuerst kann eine dielektrische Ätzstoppschicht 32, z. B. eine SiN-Schicht, ausgebildet werden, z. B. um die Wolframdurchkontaktierungen 14 vor einer nachfolgenden TFR-Ätzung zu schützen, die unten in 5 gezeigt ist. Dann kann eine dünne Widerstandsfilmschicht (TFR-Filmschicht) 34 auf der ersten dielektrischen Ätzstoppschicht 32 ausgebildet werden. Die TFR-Filmschicht 34 kann SiCCr, SiCr, TaN, TiN oder irgendein anderes geeignetes TFR-Material aufweisen.
  • In einigen Ausführungsformen kann an diesem Punkt ein TFR-Tempern durchgeführt werden, z. B. um einen Temperaturkoeffizienten des Widerstands (TCR) der TFR-Filmschicht 34 abzustimmen oder zu optimieren. Beispielsweise kann ein Tempern bei einer Temperatur von 500°C durchgeführt werden. In einigen Ausführungsformen kann das TFR-Tempern ein Tempern bei 515°C ± 10°C für eine Dauer von 15-60 Minuten, z. B. 30 Minuten, aufweisen. In anderen Ausführungsformen kann das TFR-Tempern an einem beliebigen anderen Punkt im Prozess durchgeführt werden, vor der Abscheidung der ersten Metallschicht/Verbindungsschicht 60 (z. B. „Metall-1“-Schicht), die nachstehend unter Bezugnahme auf 10 erörtert wird. Zum Beispiel kann in einigen Ausführungsformen das TFR-Tempern nach dem Ausbilden der TFR-Kontaktdielektrikumsschicht 36 durchgeführt werden, die unten mit Bezug auf 2 erörtert wird. In anderen Ausführungsformen kann das TFR-Tempern nach dem Ätzen der TFR-Filmschicht 34 durchgeführt werden, um ein TFR-Element 34A zu definieren, wie unten in Bezug auf 5 und 6 erörtert. In anderen Ausführungsformen kann das TFR-Tempern nach dem Durchführen eines TFR-Kontaktätzens durchgeführt werden, wie unten in Bezug auf 9 beschrieben.
  • Nach dem TFR-Tempern kann eine dielektrische TFR-Kontaktschicht 36 auf der TFR-Filmschicht 34 ausgebildet werden. In dieser Ausführungsform weist die dielektrische TFR-Kontaktschicht 36 eine Oxidschicht auf.
  • Wie in 3 gezeigt, kann eine erste Fotomaske 40 (z. B. unter Verwendung bekannter fotolithografischer Techniken) zum Ausbilden eines TFR ausgebildet und gemustert werden, in diesem Beispiel an einer Stelle, die seitlich von der darunter liegenden Transistorstruktur 12 versetzt ist.
  • Wie in 4 gezeigt, kann dann ein Nassätzen durchgeführt werden, um freigelegte Abschnitte der TFR-Oxidschicht 36 zu entfernen, um eine Oxiddeckschicht 36A unter der Fotomaske 40 und über der TFR-Filmschicht 34 zu definieren. Wie gezeigt, kann das Nassätzen ausgelegt werden, um an der TFR-Filmschicht 34 aufzuhören, und kann geneigte (d. h. nicht horizontale und nicht vertikale) Seitenkanten 44 der TFR-Oxiddeckschicht 36A definieren.
  • Wie in 5 gezeigt, kann dann ein Trockenätzen durchgeführt werden, um freigelegte Teile der TFR-Filmschicht 34 zu entfernen, um dadurch ein TFR-Element 34A unter der Oxiddeckschicht 36A zu definieren. Das Trockenätzen kann so ausgelegt sein, dass es auf der SiN-Ätzstoppschicht 32 stoppt. Wie gezeigt, sind die geneigten Seitenkanten 44 der TFR-Oxiddeckschicht 36A, die durch das oben diskutierte Nassätzen ausgebildet wurden, über entsprechenden Seitenkanten 48 des TFR-Elements 34A ausgerichtet. Wie unten besprochen, z. B. in Bezug auf 13A bis 13C und 14A bis 14C, können die geneigten Seitenkanten 44 der TFR-Oxiddeckschicht 36A das Entfernen ausgewählter Abschnitte einer abgeschiedenen Metallschicht 60 angrenzend an das TFR-Element 34A erleichtern, um elektrische Kurzschlüsse (oft als „Stringer“ bezeichnet) in der fertigen Vorrichtung zu verhindern.
  • Wie in 6 gezeigt, können die verbleibenden Abschnitte des Fotoresists 40 abgelöst werden. In einigen Ausführungsformen kann eine chemische Reinigung verwendet werden, da die darunter liegenden Wolframkontakte 14 durch die SiN-Ätzstoppschicht 32 geschützt sind.
  • Wie in 7 gezeigt, können freigelegte Abschnitte der SiN-Ätzstoppschicht 32 entfernt werden, z. B. durch Durchführen einer sanften SiN-Klarätzung, vorzugsweise mit hoher Oxidselektivität, um dadurch die zugrunde liegenden Wolframkontakte 14 zu schützen. Ein verbleibender Teilbereich der SiN-Ätzstoppschicht 32 unter dem TFR-Element 34A ist bei 32A bezeichnet.
  • Wie in 8 gezeigt, kann dann eine zweite Fotomaske 50 ausgebildet und gemustert werden, um ein Paar von Maskenöffnungen 52 zu definieren, die über dem TFR-Element 34A ausgerichtet sind. Dann kann eine TFR-Kontaktätzung durchgeführt werden, um ein Paar von TFR-Kontaktöffnungen 56 in der TFR-Oxiddeckschicht 36A zu definieren, wobei auf dem TFR-Element 34A gestoppt wird, so dass die TFR-Kontaktätzung obere Oberflächen des TFR-Elements 34A innerhalb der TFR-Kontaktöffnungen 56 freilegt. Das TFR-Kontaktätzen kann ein Nassätzen oder ein Trockenätzen sein. Ein Nassätzen kann den Metallfluss während einer nachfolgenden Metallabscheidung verbessern (siehe 9), kann aber die Größe der TFR-Kontaktöffnungen 56 erhöhen.
  • Wie in 9 gezeigt, kann der verbleibende Abschnitt der zweiten Fotomaske 50 entfernt werden, z. B. durch Ausführen eines Resistabstreifens. Wie in 10 gezeigt, kann die Verarbeitung der IC-Vorrichtung fortgesetzt werden, indem eine erste Metallschicht/Verbindungsschicht ausgebildet wird, die als „Metall-1“-Schicht 60 bezeichnet wird. In der dargestellten Ausführungsform weist die Metall-1-Schicht 60 Aluminium auf. In anderen Ausführungsformen kann die Metall-1-Schicht 60 Kupfer oder ein anderes Metall aufweisen. Wie gezeigt, erstreckt sich die Metall-1-Schicht 60 in die TFR-Kontaktöffnungen 56, die in der TFR-Oxiddeckschicht 36A ausgebildet sind, um dadurch das TFR-Element 34A an gegenüberliegenden Seiten des TFR-Elements 34A zu kontaktieren. Die Metall-1-Schicht 60 erstreckt sich auch über und in Kontakt mit den Wolframkontakten 14.
  • Als nächstes kann, wie in 11 gezeigt, eine dritte Fotomaske 70 ausgebildet, gemustert und geätzt werden, um eine Vielzahl von Maskenöffnungen 72A, 72B, 72C zu definieren, um die zugrunde liegende Metall-1-Schicht zu strukturieren.
  • Schließlich kann, wie in 12 gezeigt, ein Metallätzen durch die Maskenöffnungen 72A, 72B, 72C durchgeführt werden, um ausgewählte Abschnitte der Aluminiummetall-1-Schicht 60 zu ätzen, um eine Vielzahl von Metallschichtöffnungen 61A, 61B, 61C und Aluminiummetall-1-Elemente 62A-62D zu definieren (z. B. Verbindungselemente). Nach dem Metallätzen kann dann das verbleibende Fotoresistmaterial 70 entfernt werden. Beispielsweise kann, wie gezeigt, die Metallätzung Aluminium-Verbindungselemente 62A und 62B in Kontakt mit den Wolframdurchkontaktierungen 14 und Aluminium-Verbindungselemente 62C und 62D in Kontakt mit den gegenüberliegenden Seiten des TFR-Elements 34A definieren. In dieser beispielhaften Darstellung verbindet ein erstes Aluminium-Verbindungselement 62C eine erste Seite des TFR-Elements 34A leitend mit einer Wolframdurchkontaktierung 14, die mit einem Source- oder Drain-Bereich des Transistors 12 gekoppelt ist, und ein zweites Verbindungselement 62D kontaktiert leitend eine zweite Seite des TFR-Elements 34A mit anderen IC-Elementstrukturen (nicht gezeigt). Das TFR-Element 34A und die ersten und zweiten Verbindungselemente 62C und 62D definieren gemeinsam eine integrierte TFR, die bei 80 angegeben ist.
  • Wie oben erwähnt, können die geneigten Seitenkanten 44 der TFR-Oxiddeckschicht 36A das Entfernen ausgewählter Abschnitte der Metallschicht 60 benachbart zu ausgewählten seitlichen Kanten des TFR-Elements 34A erleichtern, z. B. um einen elektrischen Kurzschluss („Stringer“) zwischen den Verbindungselementen 62C und 62D zu verhindern, der durch einen verbleibenden Abschnitt der Metallschicht 60 (nach dem Metallätzen) verursacht wird, der die Verbindungselemente 62C und 62D physikalisch verbindet, d. h. die Metallkontakte auf gegenüberliegenden Seiten des TFR-Elements 34A.
  • 13A bis 13C und 14A bis 14C stellen eine beispielhafte Veranschaulichung dessen bereit, wie die geneigten Seitenkanten 44 der TFR-Oxiddeckschicht 36A das Entfernen ausgewählter Teile der Metallschicht 60 erleichtern können (um die Verbindungselemente 62C und 62D physisch voneinander zu trennen), im Vergleich zu einer ähnlichen Struktur mit einer TFR-Oxiddeckschicht mit vertikalen („rechteckigen“) Seitenkanten. 13A bis 13C sind Querschnittsansichten eines ausgewählten Abschnitts der IC-Struktur 10, der durch eine in 11 und 12 gezeigte Schnittlinie A-A definiert ist, die sich in die Seite hineinerstreckt, so dass die in 13A bis 13C gezeigten Querschnitte senkrecht zu den in 1 bis 12 gezeigten Querschnitten sind. Im Gegensatz dazu sind 14A bis 14C Querschnittsansichten eines ausgewählten Abschnitts einer IC-Struktur 10' ähnlich der IC-Struktur 10, aber mit einer TFR-Oxiddeckschicht mit vertikalen („rechteckigen“) Seitenkanten im Gegensatz zu den geneigten Seitenkanten 44 der TFR-Oxiddeckschicht 36A in 1 - 12 und 13A - 13C.
  • 13A und 14A zeigen (a) den ausgewählten Abschnitt der IC-Struktur 10 nach der Abscheidung der Metallschicht 60 über der TFR-Oxiddeckschicht 36A mit geneigten Seitenkanten 44, die nachstehend als geneigte Oxiddeckschichtkanten 44 (13A) bezeichnet werden, und den ausgewählten Abschnitt der IC-Struktur 10' nach der Abscheidung einer Metallschicht 60' über der TFR-Oxiddeckschicht 36A' mit vertikalen Seitenkanten 44', die nachstehend als vertikale Oxiddeckschichtkanten 44' bezeichnet werden. Für die Metallschicht 60 und die Metallschicht 60' wird die gleiche Metalldicke abgeschieden, die als TMetall bezeichnet ist.
  • 13A entspricht somit dem Zustand der in 11 gezeigten IC-Struktur 10 nach dem Ausbilden und Strukturieren der Fotomaske 70 über der Metallschicht 60 und vor dem Metallätzen zum Definieren der Metallelemente 62A-62D. Wie in 13A angegeben, befindet sich der dargestellte Querschnitt innerhalb der in 11 gezeigten Maskenöffnung 72C. In diesem Beispiel sollte das Metall 60 in dem dargestellten Querschnitt vollständig durch die Metallätzung durch die Maskenöffnung 72C entfernt werden, um jegliche leitende Verbindung, die durch das Metall 60 zwischen den Metallverbindungselementen 62C und 62D (d. h. den Metallkontakten auf gegenüberliegenden Seiten des TFR-Elements 34A) bereitgestellt wird, zu entfernen, wodurch elektrische Kurzschlüsse („Stringer“) über dem TFR-Element 34A verhindert werden. Wie in 13A und 14A gezeigt, befinden sich die dicksten Abschnitte der Metallschichten 60 und 60' neben den seitlichen Rändern 48 und 48' der TFR-Elemente 34A und 34A', die allgemein an den Stellen 64 und 64' angegeben sind, und somit sollte das Metallätzen ausreichen, um die gesamte Metalldicke an diesen Stellen zu entfernen. Wie unten erläutert, verringern die geneigten Oxiddeckschichtkanten 44 die Metalldicke an diesen Stellen, wodurch der/die erforderliche(n) Metallätzparameter, z. B. Ätzdauer oder Ätzintensität, verringert werden.
  • Die in 13A und 13B gezeigten Metallschichten 60' und 60' können jeweils eine Aluminiumschicht, z. B. Al, AlCu und AlSiCu, aufweisen, die als gesputterter Film aufgebracht ist. Wie gemäß Stand der Technik bekannt, sind physikalisch gesputterte Filme wie Al, AlCu und AlSiCu typischerweise nicht vollständig konform. Über den oberen Ecken physikalischer Strukturen tritt ein „Brotlaiben“ auf, z. B. wie in 13A bei 66 und in 14A bei 66' gezeigt. Wie gezeigt, reduzieren die geneigten Oxiddeckschichtkanten 44, die in 13A gezeigt sind, das Ausmaß des „Brotlaibs“ an den oberen Ecken im Vergleich zu den vertikalen Oxiddeckschichtkanten 44', die in 14A gezeigt sind. Dieser reduzierte „Brotlaib“-Effekt führt zusammen mit der nach unten geneigten Kontur der Metallschicht 60 über den geneigten Oxiddeckschichtkanten 44 zu einer vertikalen Metalldicke TMetall_geneigte_Deckschict angrenzend an die Seitenkanten 48 des TFR-Elements 34A (d. h. an den Stellen 64 gezeigt in 13), die geringer ist als eine vertikale Metalldicke TMetall_rechteckige_Deckschicht neben den Seitenkanten 48' des TFR-Elements 34A' der IC-Struktur 10' (d. h. an den in 14 gezeigten Stellen 64'). Somit ist bei Betrachtung von TMetall_geneigte_Deckschicht im Vergleich zu dem geringeren TMetall_rechteckige_Deckschicht ersichtlich, dass die maximale vertikale Dicke des Metalls, das während des Metallätzens entfernt werden soll (um elektrische Kurzschlüsse über das TFR-Element 34A oder 34A' zu verhindern), als Folge von geneigten Oxiddeckschichtkanten 44 verringert wird im Vergleich zu vertikalen Oxiddeckschichtkanten 44'.
  • 13B und 14B zeigen die ausgewählten Abschnitte der IC-Struktur 10 und der IC-Struktur 10' während des Metallätzens zum Entfernen jeder Metallschicht 60 bzw. 60', was einen Zeitpunkt zwischen den in den 11 und 12 gezeigten Zuständen darstellt. Insbesondere zeigen 13B und 14B einen Zustand während des Ätzens, bei dem die horizontalen Bereiche jeder Metallschicht 60 und 60', die jeweils eine Dicke TMetall aufweisen, entfernt wurden, während noch Bereiche der Metallschichten 60 und 60' an den seitlichen Rändern 48, 48'jedes TFR-Elements 34A, 34A' verbleiben. Wie gezeigt, ist die maximal verbleibende Metalldicke TMetall_geneigte_Deckschicht in der Struktur mit abgeschrägten Oxiddeckschichtkanten 44 (13B) kleiner als die maximal verbleibende Metalldicke TMetall_rechteckige_Deckschicht in der Struktur mit rechteckigen Oxiddeckschichtkanten 44' ( 14B) und erfordert somit eine kürzere Ätzdauer (oder Ätzintensität), um diese vollständig zu entfernen.
  • 13C und 14C zeigen die ausgewählten Teile der IC-Struktur 10 und der IC-Struktur 10' nach zusätzlicher Ätzdauer (Überätzung), insbesondere zu einem Zeitpunkt, zu dem die dicksten Bereiche der Metallschicht 60 (bei TMetall_geneigte_Deckschicht) vollständig entfernt wurden. 13C entspricht somit dem in 12 gezeigten Zustand der IC-Struktur 10. Wie gezeigt, verbleibt in der Struktur mit rechteckigen Oxiddeckschichtkanten 44' (14C) zu diesem Zeitpunkt immer noch eine Dicke des Metalls 60' (angezeigt bei TMetall_rechteckige_Deckschicht), wenn die Metallschicht 60 in der IC-Struktur 10 (13C) vollständig entfernt worden ist. Somit können die geneigten Oxiddeckschichtkanten 44, die in der IC-Struktur 10 ausgebildet sind, die Ätzdauer (oder Ätzintensität) reduzieren, die erforderlich ist, um das Metall 60 vollständig zu entfernen, um elektrische Kurzschlüsse über das TFR-Element 34A zu verhindern. Die reduzierte Ätzdauer (oder Ätzintensität) ermöglicht einen dünneren Fotolack 70 (11), was einen engeren Abstand zwischen den Metallleitungen in der IC-Struktur 10 ermöglicht, z. B. im Vergleich zu einer IC-Struktur, die rechteckige Deckschichtkanten 44' verwendet (14A - 14C). Diese Reduzierung des Metall-1-Linien-Abstands kann eine Gesamtreduzierung der Größe der IC-Struktur 10 ermöglichen, was mehr IC-Vorrichtungen pro Wafer ermöglichen kann, was die Kosten pro Vorrichtung verringern kann.
  • Obwohl die offenbarten Ausführungsformen in der vorliegenden Offenbarung im Detail beschrieben werden, versteht es sich, dass verschiedene Änderungen, Ersetzungen und Abwandlungen an den Ausführungsformen vorgenommen werden können, ohne von ihrem Geist und Schutzumfang abzuweichen.

Claims (17)

  1. Verfahren zum Ausbilden eines integrierten Dünnschichtwiderstands (TFR) in einer integrierten Halbleiterschaltungsvorrichtung (10), wobei das Verfahren aufweist: Ausbilden einer integrierten Schaltungs- (IC-) Struktur, die eine Vielzahl von IC-Elementen (12) und eine Vielzahl von leitenden IC-Elementkontakten (14) beinhaltet, die mit der Vielzahl von IC-Elementen (12) verbunden sind; Ausbilden einer TFR-Filmschicht (34) über der ausgebildeten IC-Struktur; Ausbilden einer dielektrischen TFR-Schicht (36) über der TFR-Filmschicht (34); Durchführen eines ersten Ätzens zum Entfernen ausgewählter oder freigelegter Abschnitte der dielektrischen TFR-Schicht (36), um dadurch eine dielektrische TFR-Deckschicht (36A) über der TFR-Filmschicht (34) zu definieren, wobei das erste Ätzen an der TFR-Filmschicht (34) stoppt und wobei das erste Ätzen geneigte Seitenkanten (44) der dielektrischen TFR-Deckschicht definiert, Durchführen eines zweiten Ätzens zum Entfernen ausgewählter oder freigelegter Abschnitte der TFR-Filmschicht (34), um dadurch ein TFR-Element (34A) zu definieren, wobei die geneigten Seitenkanten (44) der dielektrischen TFR-Deckschicht (36A) über jeweiligen Seitenkanten des TFR-Elements (34A) ausgerichtet sind; Durchführen eines dritten Ätzens, um TFR-Kontaktöffnungen (56) in der dielektrischen TFR-Deckschicht (36A) über dem TFR-Element (34A) auszubilden; und Ausbilden einer Metallschicht (60), die sich über den leitenden Kontakten (14) des IC-Elements erstreckt und dabei lateralen Seiten (48) des TFR Elements (34A) kontaktiert, und sich über der dielektrischen TFR-Deckschicht (36A) erstreckt und sich in die TFR-Kontaktöffnungen (56) erstreckt und in Kontakt mit dem TFR-Element (34A) steht; und zu einem Zeitpunkt nach dem Ausbilden der TFR-Filmschicht (34) und vor dem Ausbilden der Metallschicht (60), Tempern der TFR-Filmschicht (34) oder des TFR-Elements (34A).
  2. Verfahren nach Anspruch 1, wobei die ausgebildete IC-Struktur eine Speicherzellen- oder Transistorstruktur (12) aufweist, die zumindest einen leitenden IC-Elementkontakt (14) aufweist, der mit zumindest einem von einem Source-Bereich, einem Drain-Bereich und einem Gate-Bereich der Speicherzellen- oder Transistorstruktur verbunden ist.
  3. Verfahren nach einem der Ansprüche 1 bis 2, wobei die TFR-Filmschicht (34) Siliziumkarbidchrom (SiCCr), Siliziumchrom (SiCr), Chromsiliziumnitrid (CrSiN), Tantalnitrid (TaN), Tantalsilizid (Ta2Si) oder Titannitrid (TiN) aufweist.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei die Metallschicht (60) Aluminium aufweist.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei die dielektrische TFR-Schicht (36) eine Oxidschicht aufweist.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei das zweite Ätzen eine Trockenätzung aufweist.
  7. Verfahren nach einem der Ansprüche 1 bis 6, wobei das Tempern der TFR-Filmschicht (34) oder des TFR-Elements (34A) ein Tempern bei einer Temperatur von zumindest 500°C aufweist.
  8. Verfahren nach einem der Ansprüche 1 bis 6, wobei das Tempern der TFR-Filmschicht (34) oder des TFR-Elements (34A) ein Tempern bei einer Temperatur von 515°C ± 10°C für eine Dauer von 15-60 Minuten aufweist.
  9. Verfahren nach einem der Ansprüche 1 bis 8, wobei: das Ausbilden der Metallschicht (60) aufweist: Abscheiden einer konformen Metallschicht (60) über der dielektrischen TFR-Deckschicht (36A); und Durchführen eines Metallätzens zum Entfernen ausgewählter oder freigelegter Teile der konformen Metallschicht (60); und die abgeschiedene konforme Metallschicht (60) einen geneigten Metallbereich aufweist, der sich über eine jeweilige geneigte Seitenkante (44) der dielektrischen TFR-Deckschicht (36A) erstreckt, wobei der geneigte Metallbereich an einer ersten Stelle benachbart zu einer jeweiligen Seitenkante (64) des TFR-Elements (34A) eine geringere Höhe aufweist als an einer zweiten Stelle über einer oberen Oberfläche der dielektrischen TFR-Deckschicht (36A); und das Metallätzen den geneigten Metallbereich an der ersten Stelle angrenzend an die jeweilige seitliche Kante des TFR-Elements (34A) entfernt, wobei die geringere Höhe des geneigten Metallbereichs an der ersten Stelle eine verringerte Ätzdauer oder -intensität ermöglicht, um die volle Dicke des geneigten Metallbereichs an der ersten Stelle zu entfernen.
  10. Verfahren nach einem der Ansprüche 1 bis 9, das weiterhin das Ausbilden einer Ätzstoppschicht (32) über der IC-Struktur und das Ausbilden der TFR-Filmschicht (34) über der Ätzstoppschicht (32) aufweist.
  11. Verfahren nach einem der Ansprüche 1 bis 9, wobei: das erste Ätzen ein Nassätzen ist; das zweite Ätzen eine TFR-Ätzung ist; das dritte Ätzen eine TFR-Kontaktätzung ist; das Ausbilden der TFR-Filmschicht (34) das Ausbilden der TFR-Schicht über der ausgebildeten IC-Struktur aufweist; und die geneigten Seitenkanten (44) der dielektrischen TFR-Deckschicht (36A) über jeweiligen Seitenkanten des TFR-Elements (34A) ausgerichtet sind.
  12. Verfahren nach einem der Ansprüche 1 bis 11, wobei: das Verfahren weiterhin das Ausbilden einer ersten Ätzstoppschicht (32) über der IC-Struktur aufweist; die TFR-Filmschicht (34) weiterhin über der ersten Ätzstoppschicht (32) ausgebildet wird; das Verfahren weiterhin das Ausbilden und Strukturieren einer ersten Fotomaske (40) über einem Abschnitt der dielektrischen TFR-Schicht (36) aufweist; die dielektrische TFR-Deckschicht (36A) weiterhin unter der ersten Fotomaske (40) definiert ist; die Metallschicht (60) eine Metallverbindungsschicht ist und über dem TFR-Element (34A) liegt; das Verfahren weiterhin das Ausbilden und Strukturieren einer dritten Fotomaske (70) aufweist; das Verfahren weiterhin das Durchführen eines vierten Ätzprozesses zum Entfernen ausgewählter Abschnitte der Metallverbindungsschicht (60) aufweist, um dadurch eine Vielzahl von Metallverbindungselementen (62A, 62B, 62C, 62D) zu definieren.
  13. Verfahren nach Anspruch 12, wobei die geneigten Seitenkanten (44) der dielektrischen TFR-Deckschicht (36A) die Wahrscheinlichkeit von Stringern (Kurzschlüssen) an den Metallverbindungselementen (62C, 62D) verringern.
  14. Verfahren nach einem der Ansprüche 12 bis 13, wobei das dritte Ätzen eine Nassätzung aufweist.
  15. Verfahren nach einem der Ansprüche 12 bis 14, wobei der vierte Ätzprozess ein Kontaktelement (62C) definiert, das eine leitfähige Verbindung zwischen dem TFR-Element (34A) und zumindest einem der Vielzahl von leitfähigen IC-Elementkontakte (14) bereitstellt.
  16. Integrierter Dünnschichtwiderstand (TFR), ausgebildet durch eines der Verfahren nach den Ansprüchen 1 bis 15.
  17. Integrierte Halbleiterschaltungsvorrichtung, die einen integrierten Dünnschichtwiderstand nach Anspruch 16 aufweist.
DE112020006806.4T 2020-02-27 2020-11-20 Dünnfilmwiderstand (TFR), hergestellt in einer integrierten Schaltungsvorrichtung unter Verwendung von Nassätzung einer dielektrischen Deckschicht Active DE112020006806B4 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202062982107P 2020-02-27 2020-02-27
US62/982,107 2020-02-27
US17/071,356 2020-10-15
US17/071,356 US11990257B2 (en) 2020-02-27 2020-10-15 Thin film resistor (TFR) formed in an integrated circuit device using wet etching of a dielectric cap
PCT/US2020/061510 WO2021173197A1 (en) 2020-02-27 2020-11-20 Thin film resistor (tfr) formed in an integrated circuit device using wet etching of a dielectric cap

Publications (2)

Publication Number Publication Date
DE112020006806T5 DE112020006806T5 (de) 2023-02-02
DE112020006806B4 true DE112020006806B4 (de) 2023-11-16

Family

ID=77464169

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112020006806.4T Active DE112020006806B4 (de) 2020-02-27 2020-11-20 Dünnfilmwiderstand (TFR), hergestellt in einer integrierten Schaltungsvorrichtung unter Verwendung von Nassätzung einer dielektrischen Deckschicht

Country Status (4)

Country Link
US (1) US11990257B2 (de)
CN (1) CN114730839A (de)
DE (1) DE112020006806B4 (de)
WO (1) WO2021173197A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230395491A1 (en) * 2022-06-01 2023-12-07 Qualcomm Incorporated Thin film resistor (tfr) device structure for high performance radio frequency (rf) filter design

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050258513A1 (en) 2004-05-24 2005-11-24 International Business Machines Corporation Thin-film resistor and method of manufacturing the same
US20110128692A1 (en) 2009-11-30 2011-06-02 Stephen Jospeh Gaul Thin film resistor
US20150187632A1 (en) 2013-12-31 2015-07-02 Texas Instruments Incorporated Metal thin film resistor and process
US20190392967A1 (en) 2018-06-21 2019-12-26 Microchip Technology Incorporated Thin-Film Resistor (TFR) Module With Top-Side Interconnects Connected to Reduced TFR Ridges and Manufacturing Methods

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7271700B2 (en) 2005-02-16 2007-09-18 International Business Machines Corporation Thin film resistor with current density enhancing layer (CDEL)
US8907446B2 (en) 2009-05-19 2014-12-09 Texas Instruments Incorporated Integrated circuit structure with capacitor and resistor and method for forming
US8980723B2 (en) * 2012-06-15 2015-03-17 Texas Instruments Incorporated Multiple depth vias in an integrated circuit
US10276648B1 (en) 2017-12-27 2019-04-30 Texas Instruments Incorporated Plasma treatment for thin film resistors on integrated circuits
US10784193B2 (en) * 2018-07-27 2020-09-22 Texas Instruments Incorporated IC with thin film resistor with metal walls

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050258513A1 (en) 2004-05-24 2005-11-24 International Business Machines Corporation Thin-film resistor and method of manufacturing the same
US20110128692A1 (en) 2009-11-30 2011-06-02 Stephen Jospeh Gaul Thin film resistor
US20150187632A1 (en) 2013-12-31 2015-07-02 Texas Instruments Incorporated Metal thin film resistor and process
US20190392967A1 (en) 2018-06-21 2019-12-26 Microchip Technology Incorporated Thin-Film Resistor (TFR) Module With Top-Side Interconnects Connected to Reduced TFR Ridges and Manufacturing Methods

Also Published As

Publication number Publication date
WO2021173197A1 (en) 2021-09-02
US11990257B2 (en) 2024-05-21
US20210272726A1 (en) 2021-09-02
CN114730839A (zh) 2022-07-08
DE112020006806T5 (de) 2023-02-02

Similar Documents

Publication Publication Date Title
DE112019003120B4 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102018122339A1 (de) Verschlussschicht-schema zum verbessern der rram-leistung
DE102018215812A1 (de) Hochdichte Metall-Isolator-Metall-Kondensatoren
DE112019002455T5 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE112018003821B4 (de) Systeme und verfahren zum ausbilden eines in einer integrierten schaltungsanordnung integrierten dünnfilmwiderstandes
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE112018004421T5 (de) Damaszener-dünnschichtwiderstand (tfr) in polymetall-dielektrikum und verfahren zur herstellung
DE112020003222B4 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE112012002648B4 (de) Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben
DE112019003036B4 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE112020006806B4 (de) Dünnfilmwiderstand (TFR), hergestellt in einer integrierten Schaltungsvorrichtung unter Verwendung von Nassätzung einer dielektrischen Deckschicht
DE112020006814T5 (de) Dünnfilmwiderstand (TFR), hergestellt in einer integrierten Schaltungsvorrichtung unter Verwendung von TFR-Deckschicht(en) als Ätzstopp und/oder Hartmaske
DE102019204020B4 (de) Verbindungsstruktur und Verfahren zu deren Herstellung
DE102011100779B4 (de) Elektronische Vorrichtung und Verfahren zur Herstellung einer elektronischen Vorrichtung
DE102014116262B4 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE112020006829B4 (de) Unter verwendung einer oxiddeckschicht als tfr-ätzhartmaske in einer integrierten schaltungsvorrichtung ausgebildeter dünnfilmwiderstand (tfr)
DE112020006801B4 (de) Metall-isolator-metall (mim) kondensator
DE102018125005B4 (de) Vorrichtung, die einen mim-kondensator und einen widerstand aufweist, sowie verfahren zu ihrer herstellung
DE102018204164A1 (de) Auf einem Chip ausgeführte Kondensatoren mit schwebenden Inseln
DE102021127166A1 (de) Mim-kondensatorstrukturen
DE102004062834A1 (de) Verfahren zum Bilden einer Metallstruktur zur Verringerung des spezifischen Kontaktwiderstandes mit einem Verbindungskontakt
DE112020004600B4 (de) Integrierte schaltkreise mit dielektrischen schichten einschliesslich einer antireflexionsbeschichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R018 Grant decision by examination section/examining division