DE112010005304B4 - Reflexionsmindernde Hartmaskenzusammensetzung und Verfahren zur Herstellung eines strukturierten Materials damit - Google Patents

Reflexionsmindernde Hartmaskenzusammensetzung und Verfahren zur Herstellung eines strukturierten Materials damit Download PDF

Info

Publication number
DE112010005304B4
DE112010005304B4 DE112010005304.9T DE112010005304T DE112010005304B4 DE 112010005304 B4 DE112010005304 B4 DE 112010005304B4 DE 112010005304 T DE112010005304 T DE 112010005304T DE 112010005304 B4 DE112010005304 B4 DE 112010005304B4
Authority
DE
Germany
Prior art keywords
composition
layer
moieties
radiation
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112010005304.9T
Other languages
English (en)
Other versions
DE112010005304T5 (de
DE112010005304T8 (de
Inventor
Sean D. Burns
David R. Medeiros
Dirk Pfeiffer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE112010005304T5 publication Critical patent/DE112010005304T5/de
Application granted granted Critical
Publication of DE112010005304T8 publication Critical patent/DE112010005304T8/de
Publication of DE112010005304B4 publication Critical patent/DE112010005304B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Laminated Bodies (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Silicon Polymers (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Reflexionsmindernde Hartmaskenzusammensetzung, umfassend ein Polymer mit einer sich wiederholenden Struktureinheit, die aus der Gruppe gewählt wird, bestehend aus
Figure DE112010005304B4_0001
Figure DE112010005304B4_0002
und
Figure DE112010005304B4_0003
wobei x mindestens 1 ist; y mindestens 1 ist; R eine organische Funktionseinheit ist, die aus einem oder mehreren chromophoren Molekülteilen, transparenten Molekülteilen und Vernetzungskomponenten gewählt wird, wobei die anorganische Einheit aus der Gruppe gewählt wird, bestehend aus
Figure DE112010005304B4_0004
und
Figure DE112010005304B4_0005
wobei x und y die oben genannten Bedeutungen haben; Md aus Ti, Zr, Hf, Sc, Y, La und Lanthanoid-Seltenerdmetallen gewählt wird; R1 gleich oder anders ist und aus C1-C6-Alkyl, C1-C6-Alkoxy, Phenyl und Phenoxy gewählt wird; und R2 gleich oder anders ist und aus C1-C6-Alkyl und C1-C6-Alkoxy gewählt wird.

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft Halbleiterbauelemente und insbesondere reflexionsmindernde Hartmaskenzusammensetzungen und Techniken zur Verwendung von reflexionsmindernden Hartmaskenzusammensetzungen zur Bearbeitung von Halbleiterbauelementen.
  • Hintergrund der Erfindung
  • Die Notwendigkeit, hinsichtlich der Kosten und der Leistung in der Produktion von Halbleiterbauelementen konkurrenzfähig zu bleiben, hat die Industrie zu einer ständigen Zunahme in der Bauelementdichte mit einer damit einhergehenden Abnahme in der Bauelementgeometrie getrieben. Um die Verkleinerung der Bauelementabmessungen zu erleichtern, werden neue lithografische Materialien, Prozesse und Werkzeuge in Betracht gezogen. Typische lithografische Prozesse beinhalten die Bildung einer strukturierten Resistschicht durch strukturmäßiges Bestrahlen des strahlungsempfindlichen Resists mit einer Abbildungsstrahlung. Das Bild wird dann entwickelt, indem die bestrahlte Resistschicht mit einem Material (typischerweise ein wässriger alkalischer Entwickler) in Kontakt gebracht wird, um durch selektives Entfernen von Abschnitten der Resistschicht die gewünschte Struktur freizulegen. Dann wird die Struktur auf das darunterliegende Material übertragen, indem das Material in Öffnungen der strukturierten Resistschicht geätzt wird. Nach Abschluss der Übertragung wird die übrige Resistschicht entfernt. Gegenwärtig werden die 248-nm- und 193-nm-Lithografie weiterverfolgt, um Merkmale unter 200 nm zu drucken.
  • Um dies zu erreichen, treten Werkzeuge mit höherer numerischer Apertur (NA) in Erscheinung. Die höhere NA ermöglicht eine bessere Auflösung, verringert aber die Tiefenschärfe der Luftbilder, die auf den Resist projiziert werden. Aufgrund der geringeren Tiefenschärfe ist ein dünnerer Resist erforderlich. Mit abnehmender Resistdicke wird der Resist weniger wirksam als Maske für die nachfolgende Trockenätz-Bildübertragung zum darunterliegenden Substrat. Ohne signifikante Erhöhung im Ätzwiderstand, der von gegenwärtigen Einschichtresisten an den Tag gelegt wird, können diese Systeme nicht die Lithografie- und Ätzeigenschaften gewährleisten, die für die hochauflösende Lithografie benötigt werden.
  • Ein anderes Problem bei Einschichtresistsystemen ist die Steuerung der kritischen Abmessungen (CD-Steuerung). Substratreflexionen bei Ultraviolett (UV)- und Tief-Ultraviolett (DUV)-Wellenlängen sind bekannt dafür, dass sie Stehwelleneffekte und Resistkerbung verursachen, was die CD-Steuerung von Einschichtresisten stark limitiert. Die Kerbung ist auf die Substrattopografie und das ungleichmäßige Reflexionsvermögen des Substrats zurückzuführen, was lokale Schwankungen in der Bestrahlungsenergie auf dem Resist verursacht. Stehwellen sind Dünnschichtinterferenz (TFI)- oder periodische Schwankungen der Lichtstärke durch die Resistdicke hindurch. Diese Lichtschwankungen werden eingeleitet, weil die Planarisierung des Resists aufgrund der darunterliegenden Topografie eine unterschiedliche Dicke aufweist. Die Dünnschichtinterferenz spielt in der CD-Steuerung von Einschichtfotoresist-Prozessen eine dominante Rolle, da sie große Änderungen in der effektiven Bestrahlungsdosis verursacht, die auf kleine Änderungen in der optischen Phase zurückzuführen sind. Dünnschichtinterferenz-Effekte werden in „Optimization of optical properties of resist processes“ (T. Brunner, SPIE Proceedings Vol. 1466, S. 297, 1991) beschrieben.
  • Untenliegende Antireflexionsschichten oder BARCs wurden mit Einschichtresisten verwendet, um die Dünnschichtinterferenz zu verringern. Diese dünnen absorbierenden BARCs weisen jedoch grundlegende Einschränkungen auf. Bei manchen lithografischen Abbildungsprozessen verfügt der Resist über keine ausreichende Widerstandsfähigkeit für nachfolgende Ätzschritte, um die wirksame Übertragung der gewünschten Struktur auf eine unter dem Resist liegende Schicht zu ermöglichen. Der Resist ist typischerweise verbraucht, wenn die Struktur in die darunterliegenden BARC und Substrate übertragen wurde. Zudem erfordert der Übergang zu kleineren Knoten-Merkmalsgrößen unter 90 nm die Verwendung eines ultradünnen Resists (> 200 nm), um einen Bildkollaps zu vermeiden. In vielen Fällen, wo eine wesentliche Ätztiefe erforderlich ist und/oder wo die Verwendung bestimmter Ätzmittel für ein gegebenes darunterliegendes Material gewünscht wird, reicht die Resistdicke nicht aus, um den Ätzprozess abzuschließen. Zudem verfügt das verwendete strahlungsempfindliche Resistmaterial über keine ausreichende Widerstandsfähigkeit für nachfolgende Ätzschritte, um die wirksame Übertragung der gewünschten Struktur zur Schicht zu ermöglichen, die unter dem strahlungsempfindlichen Resist und der Antireflexionsschicht (ARC) liegt.
  • In vielen Fällen, wo die zu ätzende darunterliegende Materialschicht dick ist, wo eine wesentliche Ätztiefe erforderlich ist, wo es wünschenswert ist, bestimmte Ätzmittel für eine gegebene darunterliegende Schicht zu verwenden, oder in jeder Kombination des Obigen wäre es wünschenswert, eine reflexionsmindernde Hartmaske zu verwenden. Die reflexionsmindernde Hartmaskenschicht könnte als Zwischenschicht zwischen dem strukturierten strahlungsempfindlichen Resistmaterial und der zu strukturierenden darunterliegenden Materialschicht dienen. Die reflexionsmindernde Hartmaskenschicht empfängt die Struktur von der strukturierten strahlungsempfindlichen Resistmaterialschicht durch reaktives lonenätzen (RIE), gefolgt von der Übertragung der Struktur auf die darunterliegende Materialschicht. Die reflexionsmindernde Hartmaskenschicht sollte in der Lage sein, den Ätzprozessen zu widerstehen, die zur Übertragung der Struktur auf die darunterliegende Materialschicht erforderlich sind. Darüber hinaus ist eine dünne reflexionsmindernde Hartmaskenschicht wünschenswert, um die Struktur durch RIE von der Resistschicht zu empfangen, vor allem, wenn ein dünner Resist verwendet wird. Obwohl viele Materialien bekannt sind, die als ARC-Zusammensetzungen brauchbar sind, besteht ein Bedarf an verbesserten reflexionsmindernden Hartmaskenzusammensetzungen mit hoher Ätzselektivität zum strahlungsempfindlichen Resistmaterial und zur darunterliegenden Materialschicht. Ferner sind viele der bekannten reflexionsmindernden Hartmasken schwer auf das Substrat aufzutragen, z. B. kann der Auftrag dieser ARCs die Verwendung der chemischen Gasphasenabscheidung notwendig machen ( US 6316167 B1 ; US 6514667 B2 ). Es wäre vorteilhaft, das reflexionsmindernde Hartmaskenmaterial wie konventionelle organische BARCs, die gegenwärtig in der Fertigung eingesetzt werden, durch Aufschleudertechniken aufzutragen.
  • Zudem sind reflexionsmindernde Hartmaskenmaterialien nach der Strukturübertragung schwer zu entfernen. Organische BARCs werden typischerweise durch einen Nass- oder Trockenveraschungsprozess entfernt. Durch CVD aufgetragene Hartmaskenschichten sind ohne Beschädigung des darunterliegenden dielektrischen Substrats schwer zu entfernen. Idealerweise können die reflexionsmindernden Hartmaskenmaterialien durch ein Nassablösen mit hoher Selektivität zu den darunterliegenden Substraten leicht entfernt werden.
  • Deshalb wäre es wünschenswert, lithografische Techniken mit hoher Ätzselektivität, aber ausreichender Widerstandsfähigkeit für Mehrfachätzungen durchführen zu können. Solche lithografische Techniken würden die Produktion hochdetaillierter Halbleiterbauelemente ermöglichen.
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung stellt reflexionsmindernde Hartmaskenzusammensetzungen und Techniken zur Verwendung von reflexionsmindernden Hartmaskenzusammensetzungen zur Bearbeitung von Halbleiterbauelementen bereit. In einem Aspekt der Erfindung wird eine reflexionsmindernde Hartmaskenschicht für die Lithografie bereitgestellt.
  • Die reflexionsmindernde Hartmaskenschicht umfasst ein Polymer mit einer sich wiederholenden Struktureinheit, die aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0006
    Figure DE112010005304B4_0007
    und
    Figure DE112010005304B4_0008
    wobei x mindestens 1 ist; y mindestens 1 ist; R eine organische Funktionseinheit ist, die aus einem oder mehreren chromophoren Molekülteilen, transparenten Molekülteilen und Vernetzungskomponenten gewählt wird, wobei die anorganische Einheit aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0009
    und
    Figure DE112010005304B4_0010
    wobei x und y die oben genannten Bedeutungen haben;
  • Md aus Ti, Zr, Hf, Sc, Y, La, und Lanthanoid-Seltenerdmetallen gewählt wird; R1 gleich oder anders ist und aus C1-C6-Alkyl, C1-C6-Alkoxy, Phenyl und Phenoxy gewählt wird; und R2 gleich oder anders ist und aus C1-C6-Alkyl und C1-C6-Alkoxy gewählt wird; und R3 gleich oder anders ist und aus C1-C6-Alkyl und Phenyl gewählt wird.
  • In einem anderen Aspekt der Erfindung wird ein Verfahren zur Bearbeitung eines Halbleiterbauelements bereitgestellt. Das Verfahren umfasst die Schritte des: (a) Bereitstellen einer Materialschicht auf einem Substrat; (b) Bilden einer reflexionsmindernden Hartmaskenschicht über der Materialschicht, (c) Bilden einer strahlungsempfindlichen Abbildungsschicht über der reflexionsmindernden Hartmaskenschicht; (d) strukturmäßiges Bestrahlen der strahlungsempfindlichen Abbildungsschicht, um dadurch eine Struktur bestrahlter Bereiche in der Abbildungsschicht zu erzeugen; (e) selektives Entfernen von Abschnitten der strahlungsempfindlichen Abbildungsschicht und der reflexionsmindernden Hartmaskenschicht, um Abschnitte der Materialschicht freizulegen; und (f) Ätzen der freigelegten Abschnitte der Materialschicht, wodurch ein strukturiertes Materialmerkmal auf dem Substrat gebildet wird, wobei die reflexionsmindernde Hartmaskenzusammensetzung ein Polymer mit einer sich wiederholenden Struktureinheit umfasst, wobei die sich wiederholende Struktureinheit aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0011
    Figure DE112010005304B4_0012
    und
    Figure DE112010005304B4_0013
    wobei x mindestens 1 ist; y mindestens 1 ist; R eine organische Funktionseinheit ist, die aus einem oder mehreren chromophoren Molekülteilen, transparenten Molekülteilen und Vernetzungskomponenten gewählt wird, wobei die anorganische Einheit aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0014
    und
    Figure DE112010005304B4_0015
    wobei x und y die oben genannten Bedeutungen haben; Md aus Ti, Zr, Hf, Sc, Y, La, und Lanthanoid-Seltenerdmetallen gewählt wird; R1 gleich oder anders ist und aus C1-C6-Alkyl, C1-C6-Alkoxy, Phenyl und Phenoxy gewählt wird; und R2 gleich oder anders ist und aus C1-C6-Alkyl und C1-C6-Alkoxy gewählt wird; und R3 gleich oder anders ist und aus C1-C6-Alkyl und Phenyl gewählt wird. Zudem beschreibt die Erfindung auch die Entfernung der restlichen reflexionsmindernden Hartmaskenschicht nach der Strukturierung.
  • Ein umfassenderes Verständnis der vorliegenden Erfindung sowie ihrer weiteren Merkmale und Vorteile wird Bezug nehmend auf die folgende ausführliche Beschreibung und die Zeichnungen erhalten.
  • Ausführliche Beschreibung bevorzugter Ausführungsformen
  • Hierin wird eine lithografische Struktur mit einer reflexionsmindernden Hartmaskenzusammensetzung (nachstehend „die Zusammensetzung“ genannt) offenbart. In einem Aspekt der Erfindung umfasst die Zusammensetzung ein Polymer mit einem Si-O-Rückgrat, das einen siliciumfreien anorganischen Molekülteil enthält, der in das Rückgrat integriert ist, umfassend mindestens einen chromophoren Molekülteil, mindestens einen transparenten Molekülteil und eine Vernetzungskomponente. Das Polymer kann jede Kombination eines Säuregenerators und einer zusätzlichen Vernetzungskomponente umfassen. Die Zusammensetzung umfasst ein Polymer mit einem Si-O-Rückgrat und einer in das Rückgrat integrierten siliciumfreien anorganischen Einheit und weist eine sich wiederholende Strukturformel auf, die aus der Gruppe gewählt wird, bestehend aus:
    Figure DE112010005304B4_0016
    wobei x mindestens 1 ist; y mindestens 1 ist; R ein Chromophor, mindestens ein transparenter Molekülteil oder mindestens ein transparenter Molekülteil und eine Vernetzungskomponente ist, wobei die anorganische Einheit kein Silicium enthält; und
    Figure DE112010005304B4_0017
    und
    Figure DE112010005304B4_0018
    wobei x und y die obigen Bedeutungen haben; Md Ti, Zr, Hf, Sc, Y, La, odee ein Lanthanoid-Seltenerdmetall ist; R1 gleich oder anders ist und C1-C6-Alkyl, C1-C6-Alkoxy, -Phenyl oder - Phenoxy ist; und R2 gleich oder anders ist und C1-C6-Alkyl oder C1-C6-Alkoxy ist.
  • Wie oben erwähnt, kann jede R-Gruppe entweder ein chromophorer Molekülteil, ein transparenter Molekülteil oder eine Vernetzungskomponente sein. Das Polymer, das Si-O-Bindungen in seinem Rückgrat enthält, ist allgemein für die meisten verwendeten Wellenlängen transparent. Die Zugabe von fluorhaltigen Molekülteilen, die für die Abbildungsstrahlung im Wesentlichen transparent sind, kann jedoch wünschenswert sein. In manchen Fällen kann die Vernetzungskomponente abhängig von der organischen Funktionsgruppe, die an die Si-O-Einheit gebunden ist, der transparente oder chromophore Molekülteil sein. In manchen Fällen kann die anorganische Einheit abhängig vom vorhandenen Metall auch als das Chromophor wirken, da einige Metalloxide wie die Si-O-Einheiten bei der gewünschten Abbildungsstrahlung nicht transparent sein können. Die transparenten und chromophoren Molekülteile sind abgestimmt, um bei jeder Strahlung, die für die Lithografie geeignet ist, den für die reflexionsmindernde Eigenschaften gewünschten Absorptionsgrad zu erreichen.
  • Der chromophore Molekülteil kann jeden geeigneten chromophoren Molekülteil mit geeigneten Strahlungsabsorptionseigenschaften umfassen, der auf die SiO-haltigen Einheiten gepfropft werden kann und weder die Leistung der reflexionsmindernden Hartmaskenzusammensetzung noch die der darüberliegenden strahlungsempfindlichen Schichten nachteilig beeinflusst. Geeignete chromophore Molekülteile umfassen, ohne aber darauf beschränkt zu sein, Phenyl, Chrysene, Pyrene, Fluoranthrene, Anthrone, Benzophenone, Thioxanthone und Anthracene. Auch Anthracen-Derivate, zum Beispiel die in Renner, US-Patent 4 371 605 A _„Photopolymerizable Compositions Containing N-hydroxyamide and N-hydroxyimide Sulfonates‟ beschriebenen, können verwendet werden (z. B. ist 9-Anthracen-Methanol ein bevorzugter Chromophor für die 248-Nanometer(nm)-Lithografie). Der chromophore Molekülteil enthält bevorzugt keinen Stickstoff, mit Ausnahme vielleicht von deaktiviertem Aminostickstoff wie z. B. in Phenolthiazin. Für die 193-nm-Lithografie sind aliphatische Verbindungen, die ungesättigte Kohlenstoffbindungen wie z. B. Kohlenstoff-Kohlenstoff-Doppelbindungen enthalten, ebenfalls geeignete Chromophore. Für die 157-nm-Lithografie können Verbindungen, die gesättigte Kohlenstoff-Kohlenstoff-Bindungen enthalten, als Chromophore wirken.
  • Die chromophoren Molekülteile können durch säurekatalysierte O-Alkylierung oder C-Alkylierung wie z. B. durch Friedel-Crafts-Alkylierung chemisch an die Si-O- oder anorganischen Einheiten gebunden werden. Alternativ dazu kann der chromophore Molekülteil durch einen Veresterungsmechanismus an die SiO-haltigen Einheiten gebunden werden. In einer beispielhaften Ausführungsform enthält 1 Prozent bis 40 Prozent der SiO-haltigen Einheiten chromophore Molekülteile. Die Bindungsstelle der chromophoren Molekülteile kann eine aromatische Gruppe wie z. B. eine Hydroxybenzyl- oder eine Hydroxymethylbenzylgruppe sein. Alternativ dazu können die chromophoren Molekülteile durch Reaktion mit anderen vorhandenen Molekülteilen wie z. B. Alkoholen an die Si-O-Einheiten gebunden werden. Die Reaktion, die verwendet wird, um die chromophoren Molekülteile an die SiO-haltigen Einheiten zu binden, umfasst bevorzugt die Veresterung einer Hydroxylgruppe.
  • Zusätzlich kann die anorganische Einheit als der Chromophor wirken, da einige Metalle bei der gewünschten Wellenlänge absorbieren. Das Metall kann aus Stabilitätsgründen einen Liganden erfordern. Dieser Ligand wie z. B. Cyclopentadienylgruppen kann als Chromophor wirken und mit den Si-O-haltigen Einheiten abzustimmen sein, um einen geeigneten Absorptionsgrad für reflexionsmindernde Eigenschaften zu erreichen.
  • Die transparenten Molekülteile können abhängig von der Wellenlänge oder von der Art der verwendeten Abbildungsstrahlung verschieden sein. Im Fall der 248-nm-Lithografie kann der transparente Molekülteil Substituenten auf Phenyl- und Phenolbasis umfassen. Im Fall der 193- oder 157-nm-Lithografie sind die verwendeten transparenten Molekülteile allgemein organische Molekülteile, die frei von ungesättigten Kohlenstoff-Kohlenstoff-Bindungen sind.
  • Funktionsgruppen mit gesättigten Kohlenstoff-Kohlenstoff-Bindungen können Alkohole, Ether und Epoxide umfassen.
  • Um wünschenswerte optische Eigenschaften für die Zusammensetzung zu erreichen, sollten nicht mehr als 50 Prozent der transparenten Molekülteile ungesättigte Kohlenstoff-Kohlenstoff-Bindungen enthalten, vor allem im Fall der 193-nm-Lithografie. Im Fall der 157-nm-Lithografie können die transparenten Molekülteile Fluorkohlenstoffsubstituenten enthalten, um die Transparenz zu erhöhen. Es kann wünschenswert sein, die Zahl der transparenten Molekülteile und chromophoren Molekülteile abzustimmen, um günstige Kombinationen der Energieabsorption und Reflexionsminderung zu erhalten.
  • Die Zusammensetzung umfasst außerdem eine Vernetzungskomponente. Die Vernetzungskomponente kann an das Polymer (z. B. an das Polymerrückgrat) gebunden sein oder extern vorliegen (d. h., nicht an das Polymer gebunden sein), oder eine Kombination aus beiden. Darüber hinaus kann die Vernetzungskomponente ein Polymer sein, das an das Rückgrat gebunden ist und allein oder mit einer externen Vernetzung vernetzt ist. Die Vernetzung kann durch Säure katalysiert werden, die bevorzugt durch einen thermisch aktivierten Säuregenerator in der Zusammensetzung erzeugt wird. Die Vernetzungsreaktion, die durch die Erzeugung einer Säure katalysiert wird, wird durch Erhitzung aktiviert. Ein Säuregenerator wird weiter unten im Detail beschrieben. Daher erfordert die Zusammensetzung eine thermische Behandlung, damit eine ausreichende Vernetzung erreicht wird, um die Schicht in organischen Lösungsmitteln sowie in wässrigen Basen unlöslich zu machen.
  • Die Vernetzungskomponente umfasst jede geeignete Vernetzungsfunktionsgruppe, die auf dem Gebiet der Negativresiste bekannt ist und mit anderen Komponenten der Zusammensetzung kompatibel ist. Die Vernetzungskomponente kann wirken, um die Polymere in Anwesenheit einer erzeugten Säure zu vernetzen.
  • Für die 248-nm-Lithografie geeignete Vernetzungskomponenten umfassen, ohne aber darauf beschränkt zu sein, aromatische Alkohole und Substituenten auf Phenolbasis. Die bevorzugten Vernetzungskomponenten für die 193- und 157-nm-Lithografie umfassen Alkohole und Epoxide, die an Substituenten gebunden sind, die frei von ungesättigten Kohlenstoff-Kohlenstoff-Bindungen sind, sowie Alkohol- und Epoxidsubstituenten, die Fluor enthalten, und Zusammensetzungen, die mindestens einen der obigen Alkohole und Epoxide enthalten. Weitere geeignete Vernetzungskomponenten können Vinylether umfassen.
  • Die Vernetzungskomponente kann auch SiOH-Bindungen (Silanole) umfassen, die bei der Synthese der Zusammensetzung gebildet werden und an den SiO-Molekülteil gebunden sind. Die Vernetzung kann auch durch Silanolkondensation über einen Sol-Gel-Prozess erreicht werden, bei dem SiOH-Bindungen kondensieren, um Si-O-Si-Bindungen zu bilden. Die SiOH-Gruppen können auch mit Metall-OH-Gruppen reagieren, die an die anorganische Einheit gebunden sind, um Si-O-Metall-Bindungen zu bilden. Die Si-O-Si- und Si-O-Metall-Bindungen verbinden das Polymer, um ein vernetztes Netz mit oder ohne die oben beschriebene Vernetzungskomponente zu bilden.
  • Beispiele für den transparenten Molekülteil, den chromophoren Molekülteil und die Vernetzungskomponente, die an die Si-O-Einheit gebunden sind und für diese Erfindung geeignet sind, werden außerdem in US 6730454 B2 und in JP 2004-158639 A , Anmeldetag 28.05.2004; in JP 2003-157808 A mit dem Prioritätsdatum 03.06.2004 und in JP 2004 - 172222 A , Anmeldetag 10.06.2004, und den dort genannten Bezugsdokumenten beschrieben.
  • Die Zusammensetzung umfasst ein Polymer mit Si-O-Molekülteilen und anorganischen Molekülteilen, die jede Kombination, in allen Verhältnissen, des transparenten Molekülteils, des chromophoren Molekülteils und der Vernetzungskomponente enthalten, die an das Polymerrückgrat gebunden sind. Eine typische Zusammensetzung kann 1 bis 50 Mol-% der chromophoren Einheit und 1 bis 99 Mol-% des transparenten Molekülteils und/oder der Vernetzungskomponente und 0 bis 20 Gewichts-% einer externen Vernetzungskomponente umfassen.
  • Das Polymer, umfassend Si-O- und anorganische Einheiten in Rückgrateinheiten, weist vor der Reaktion mit einer Vernetzungskomponente ein Gewichtsmittel der Molmasse von mindestens 500 auf. Zum Beispiel können die Si-O- und anorganischen Molekülteile ein Gewichtsmittel der Molmasse von 1.000 bis 10.000 aufweisen.
  • Die Zusammensetzung kann eine zusätzliche Vernetzungskomponente umfassen. Die zusätzliche Vernetzungskomponente umfasst bevorzugt eine Verbindung, die auf jede geeignete Weise, einschließlich zum Beispiel Katalyse durch eine erzeugte Säure und/oder Erhitzung, mit dem Polymer der Zusammensetzung zur Reaktion gebracht werden kann. Allgemein kann die zusätzliche Vernetzungskomponente jedes zusätzliche Vernetzungsmittel umfassen, das auf dem Gebiet der Negativresiste bekannt ist und mit den anderen Komponenten der Zusammensetzung kompatibel ist. Geeignete zusätzliche Vernetzungsmittel umfassen, ohne aber darauf beschränkt zu sein, Glycolurilverbindungen einschließlich methylierter Glycolurile, butylierter Glycolurile, Tetramethoxymethylglycoluril, Methylpropyltetramethoxymethylglycoluril, Methylphenyltetramethoxymethylglycoluril, 2,6-Bis(hydroxymethyl)-p-cresol-Verbindungen, veretherte Aminoharze einschließlich methylierter Melaminharze, N-Methoxymethyl-Melamin, butylierter Melaminharze, N-Butoxymethyl-Melamin, Bisepoxide, Bisphenole, Bisphenol-A und Zusammensetzungen, die mindestens eines der obigen zusätzlichen Vernetzungsmittel umfassen.
  • Geeignete Glycolurilverbindungen umfassen POWDERLINK™-Verbindungen, eine Handelsmarke von Cytec Industries. Geeignete 2,6-Bis(hydroxymethyl)-p-cresol-Verbindungen umfassen jene, die in Masaaki, JP 1293339 A2 „Photoresist Compositions“ beschrieben werden. Geeignete methylierte Glycolurile und butylierte Glycolurile umfassen die in Kirchmayr, CA 1204547 A , „Curable Composition Based On an Acid-Curable Resin, and Process for Curing this Resin“ beschriebenen.
  • Die Zusammensetzung kann außerdem einen Säuregenerator umfassen. Der Säuregenerator umfasst eine säureerzeugende Gruppe, die bei thermischer Behandlung Säure freisetzt (einen thermischen Säuregenerator). Verschiedene bekannte thermische Säuregeneratoren können verwendet werden, einschließlich, ohne aber darauf beschränkt zu sein, 2,4,4,6-Tetrabromcyclohexadienon, Benzointosylat, 2-Nitrobenzyltosylat oder Alkyl/Perfluoralkylester organischer Sulfonsäuren und Zusammensetzungen, die mindestens einen der obigen thermischen Säuregeneratoren umfassen. Verbindungen, die bei der Aktivierung eine Sulfonsäure erzeugen, sind allgemein geeignet und umfassen die thermisch aktivierten Säuregeneratoren, die in Sinta et al., US 5 886 102 A „Antireflective Coating Compositions“ (nachstehend „Sinta“ genannt) und in Pavelchek et al., US 5 939 236 A „Antireflective Coating. Compositions Comprising Photoacid Generators“ (nachstehend „Pavelchek“ genannt) beschrieben werden.
  • Ein strahlungsempfindlicher Säuregenerator kann als Alternative zum oder in Verbindung mit dem thermischen Säuregenerator verwendet werden. Strahlungsempfindliche Säuregeneratoren, die auf dem Gebiet der Resiste allgemein bekannt sind, können verwendet werden, solange sie mit den Komponenten der Zusammensetzung kompatibel sind. Geeignete strahlungsempfindliche Säuregeneratoren umfassen die in Sinta und Pavelchek beschriebenen.
  • Wenn ein strahlungsempfindlicher Säuregenerator verwendet wird, kann die Vernetzungstemperatur durch die Strahlungsanwendung zur Einleitung der Säureerzeugung reduziert werden, die ihrerseits die Vernetzungsreaktion katalysiert. Selbst, wenn ein strahlungsempfindlicher Säuregenerator verwendet wird, kann die Zusammensetzung thermisch behandelt werden, um den Vernetzungsvorgang zu beschleunigen. Es kann vorteilhaft sein, den Vernetzungsvorgang zum Beispiel bei der Fertigung in einer Produktionslinie zu beschleunigen.
  • Weitere Beispiele für externe Vernetzungsmittel und Säuregeneratoren, die für diese Erfindung geeignet sind, werden in US 6730454 B2 und in JP 2004-158639 A (Anmeldetag 28.05.2004); in JP 2003-157808 A (mit dem Prioritätstag 03.06.2004) und in JP 2004 - 172222 A (Anmeldetag 10.06.2004) und in den dort genannten Bezugsdokumenten beschrieben.
  • Die Zusammensetzung kann 1 Gewichts bis 20 Gewichts auf Feststoffbasis, eines Säuregenerators umfassen. Zum Beispiel kann die Zusammensetzung Gewichts bis 15 Gewichts auf Feststoffbasis, eines Säuregenerators umfassen.
  • Die Zusammensetzung kann in Kombination mit jedem gewünschten Resistmaterial verwendet werden, um eine lithografische Struktur zu bilden. In einer beispielhaften Ausführungsform kann das Resistmaterial mit Ultravialettstrahlung kürzerer Wellenlänge, zum Beispiel mit einer Wellenlänge in der Größenordnung von 248 nm oder weniger oder mit Elektronenstrahl-Strahlung bestrahlt werden. Geeignete Resistmaterialien werden zum Beispiel in Bucchignana et al., US-Patent 6 037 097 A , „E-beam Applicatian to Mask Making Using New Improved KRS Resist System“ beschrieben.
  • Vor dem Auftrag auf ein gewünschtes Substrat umfasst die Zusammensetzung typischerweise ein Lösungsmittel. Geeignete Lösungsmittel umfassen Lösungsmittel, die konventionell mit Resistmaterialien verwendet werden und keinen übermäßig nachteiligen Einfluss auf die Leistung der Zusammensetzung haben. Beispielhafte Lösungsmittel umfassen, ohne aber darauf beschränkt zu sein, Propylenglycolmonomethyletheracetat, Butanol, Propylenglycolmonomethylether, Cyclohexanon und Ethyllactat. Das Lösungsmittel sollte in der Zusammensetzung in einer ausreichenden Menge vorliegen, um einen Feststoffgehalt von 5 Gewichts-% bis 20 Gewichts-% zu erreichen. Formulierungen mit höherem Feststoffgehalt werden allgemein dickere Beschichtungslagen ergeben. Die Zusammensetzung der vorliegenden Erfindung kann außerdem kleinere Mengen an Hilfsbestandteilen wie z. B. basischen Zusätzen enthalten, wie sie auf dem Fachgebiet bekannt sind.
  • Die erfindungsgemäßen Zusammensetzungen verfügen über eine ausreichende Lagerlebensdauer. Ferner können die erfindungsgemäßen Zusammensetzungen nachteilige Wechselwirkungen mit der Abbildungsschicht, z. B. durch Säurekontamination aus der Hartmaskenschicht, verhindern.
  • Die Zusammensetzung kann angesetzt werden, indem das Polymer, bestehend aus Si-O- und anorganischen Einheiten im Polymerrückgrat, eine Vernetzungskomponente und ein Säuregenerator sowie andere gewünschte Bestandteile mit konventionellen Verfahren kombiniert werden. Die Bildung einer reflexionsmindernden Hartmaskenschicht mit der Zusammensetzung wird weiter unten beschrieben.
  • Die Zusammensetzung ist vor allem in Verbindung mit lithografischen Prozessen nützlich, die zur Fertigung von Halbleiterbauelementen verwendet werden, z. B. lithografische Prozesse, die 193 nm, 157 nm, Röntgenstrahlen, einen Elektronenstrahl oder andere Abbildungsstrahlung verwenden. Daher wird hierin außerdem ein Verfahren zur Bearbeitung eines Halbleiterbauelements offenbart.
  • Halbleiter-Lithografieanwendungen beinhalten allgemein die Übertragung einer Struktur auf eine Materialschicht, die auf einem Halbleitersubstrat bereitgestellt wird. Die erfindungsgemäße Zusammensetzung kann durch Schleuderbeschichtung, gefolgt von einer Härtung, um die Vernetzung und Lösungsmittelentfernung zu erreichen, zu einer reflexionsmindernden Hartmaskenschicht über der Materialschicht gebildet werden. In einer beispielhaften Ausführungsform wird die Härtung bei einer Temperatur kleiner oder gleich 250 Grad Celsius (°C) durchgeführt. Zum Beispiel kann die Härtung bei einer Temperatur von 150°C bis 220°C durchgeführt werden. Die Dauer der Härtung kann abhängig von der Dicke der Schicht und der Härtungstemperatur verschieden sein. In einer weiteren beispielhaften Ausführungsform kann die Härtung in einer Formiergasatmosphäre bei einer Temperatur von 250°C bis 400°C durchgeführt werden.
  • Die Dicke der reflexionsmindernden Hartmaskenschichten, die aus der Zusammensetzung gebildet werden, kann abhängig von der gewünschten Funktion verschieden sein. Bei typischen Anwendungen ist die Dicke der reflexionsmindernden Hartmaskenschicht 30 Nanometer (nm) bis 500 nm. Die reflexionsmindernden Hartmaskenschichten sind gegen Unterätzung beständig und können selbst bei Dicken, die allgemein mit organischen reflexionsmindernden Schichten in Verbindung gebracht werden, als Hartmaskenschicht dienen.
  • In einem anderen Aspekt umfasst die Erfindung ein Verfahren zur Bildung eines strukturierten Materials auf einem Substrat, wobei das Verfahren umfasst:
    • • (a) Bereitstellen einer Materialschicht auf einem Substrat,
    • • (b) Bilden einer erfindungsgemäßen reflexionsmindernden Hartmaskenzusammensetzung über der Materialschicht,
    • • (c) Bilden einer strahlungsempfindlichen Abbildungsschicht über der reflexionsmindernden Zusammensetzung,
    • • (d) strukturmäßiges Bestrahlen der Abbildungsschicht, um dadurch eine Struktur bestrahlter Bereiche in der Abbildungsschicht zu erzeugen,
    • • (e) selektives Entfernen von Abschnitten der Abbildungsschicht und der reflexionsmindernden Hartmaskenzusammensetzung, um Abschnitte der Materialschicht freizulegen, und
    • • (f) Ätzen der freigelegten Abschnitte der Materialschicht, um dadurch das strukturierte Materialmerkmal zu bilden,
    wobei die reflexionsmindernde Hartmaskenzusammensetzung ein Polymer mit einer sich wiederholenden Struktureinheit umfasst, wobei die sich wiederholende Struktureinheit aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0019
    Figure DE112010005304B4_0020
    und
    Figure DE112010005304B4_0021
    wobei x mindestens 1 ist; y mindestens 1 ist; R eine organische Funktionseinheit ist, die aus einem oder mehreren chromophoren Molekülteilen, transparenten Molekülteilen und Vernetzungskomponenten gewählt wird, wobei die anorganische Einheit aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0022
    und
    Figure DE112010005304B4_0023
    • • wobei x und y die oben genannten Bedeutungen haben; Md aus Ti, Zr, Hf, Sc, Y, La, und Lanthanoid-Seltenerdmetallen gewählt wird; R1 gleich oder anders ist und aus C1-C6-Alkyl, C1-C6-Alkoxy, Phenyl und Phenoxy gewählt wird; und R2 gleich oder anders ist und aus C1-C6-Alkyl und C1-C6-Alkoxy gewählt wird; und R3 gleich oder anders ist und aus C1-C6-Alkyl und Phenyl gewählt wird.
  • Die Materialschicht kann abhängig vom Stadium des Fertigungsprozesses und vom für das Endprodukt gewünschten Material ein leitendes Material, ein Halbleitermaterial, ein magnetisches Material, ein isolierendes Material, ein Metall, ein dielektrisches Material oder eine Kombination sein, die mindestens eines der obigen Materialien umfasst. Einige spezielle Beispiele für Materialschichten umfassen Siliciumoxidmaterialien, Siliciumnitridmaterialien, Polysiliciummaterialien und dielektrische Materialien mit niedriger Dielektrizitätskonstante (Low-k-Dielektrika), die auf dem Fachgebiet bekannt sind und typischerweise Silicium, Kohlenstoff und Sauerstoff enthalten.
  • Die reflexionsmindernde Hartmaskenschicht wird bevorzugt direkt auf der zu strukturierenden Materialschicht aufgetragen. Eine strahlungsempfindliche Abbildungsschicht kann dann entweder direkt oder indirekt über der reflexionsmindernden Hartmaskenschicht gebildet werden.
  • Die strahlungsempfindliche Abbildungsschicht kann durch Schleuderbeschichtungstechniken aufgetragen werden. Das Substrat mit der Materialschicht, der reflexionsmindernden Hartmaskenschicht und der strahlungsempfindlichen Abbildungsschicht kann dann erhitzt werden, d. h., vor der Bestrahlung gehärtet werden, um das Lösungsmittel zu entfernen und die Kohärenz der strahlungsempfindlichen Abbildungsschicht zu verbessern. Die strahlungsempfindliche Abbildungsschicht sollte möglichst dünn, aber im Wesentlichen einheitlich und dick genug sein, um der nachfolgenden Bearbeitung wie z. B. dem reaktiven lonenätzen zur Übertragung der lithografischen Struktur auf die darunterliegende Materialschicht zu widerstehen. Die Dauer der Vorhärtung (pre-exposure bake) kann 10 Sekunden bis 900 Sekunden sein. Zum Beispiel kann die Dauer der Vorhärtung 15 Sekunden bis 60 Sekunden sein. Die Vorhärttemperatur kann abhängig von der Glasübergangstemperatur der strahlungsempfindlichen Abbildungsschicht verschieden sein.
  • Nach der Lösungsmittelentfernung wird die strahlungsempfindliche Abbildungsschicht dann strukturmäßig der gewünschten Strahlung ausgesetzt, zum Beispiel Ultraviolettstrahlung mit einer Wellenlänge kleiner oder gleich 200 nm, d. h., 193-nm-Ultraviolettstrahlung. Wenn abtastende Parktikelstrahlen wie z. B. ein Elektronenstrahl verwendet werden, kann die strukturmäßige Bestrahlung erreicht werden, indem der Partikelstrahl das Halbleiterbauelement abtastet und der gewünschten Struktur entsprechend auf selektive Weise angewendet wird. Wenn aber wellenförmige Strahlung wie z. B. 193-nm-Ultraviolettstrahlung verwendet wird, erfolgt die strukturmäßige Bestrahlung typischerweise durch eine Maske, die über der strahlungsempfindlichen Abbildungsschicht angeordnet ist. Die Gesamtbestrahlungsenergie bei 193-nm-Ultraviolettstrahlung kann kleiner oder gleich 100 Millijoule pro Quadratzentimeter (Millijoule/cm2) sein. Zum Beispiel kann die Gesamtbestrahlungsenergie kleiner oder gleich 50 Millijoule/cm2 sein, wie z. B. zwischen 15 Millijoule/cm2 und 30 Millijoule/cm2.
  • Nach der strukturmäßigen Bestrahlung wird die strahlungsempfindliche Abbildungsschicht typischerweise gehärtet, z. B. nachgehärtet, um die säurekatalysierte Reaktion weiter abzuschließen und den Kontrast der bestrahlten Struktur zu erhöhen. Die Nachhärtung (post-exposure bake) wird bei einer Temperatur von 60°C bis 175°C durchgeführt. Zum Beispiel kann die Nachhärtung bei einer Temperatur von 90°C bis 160°C durchgeführt werden. Die Nachhärtung wird bevorzugt 30 Sekunden bis 300 Sekunden lang durchgeführt.
  • Nach der Nachhärtung wird die strahlungsempfindliche Abbildungsschicht mit der gewünschten Struktur erhalten, z. B. entwickelt, indem die strahlungsempfindliche Abbildungsschicht mit einem Entwickler wie einem organischen Lösungsmittel oder einer alkalischen Lösung in Kontakt gebracht wird, die die Bereiche der strahlungsempfindlichen Abbildungsschicht, die der Strahlung ausgesetzt wurden, auf selektive Weise entfernt. Geeignete alkalische Entwicklerlösungen umfassen wässrige Lösungen aus Tetramethylammoniumhydroxid (TMAH) und/oder Amoniumhydroxid. Die resultierende lithografische Struktur wird dann typischerweise getrocknet, um jedes restliche Lösungsmittel aus dem Entwickler zu entfernen.
  • Die erfindungsgemäße Zusammensetzung verwendet vorteilhafterweise eine dünne siliciumhaltige Schicht zur wirksamen Strukturübertragung durch reaktives lonenätzen (RIE) von dem Resist, selbst dann, wenn eine sehr dünne Resistdicke unter 200 nm verwendet wird. Durch Optimieren der RIE-Bedingungen wird eine hervorragende Selektivität zwischen dem Silicium und der organischen Schicht erreicht, um eine gute Strukturübertragung sicherzustellen. Sobald die organische Schicht die Struktur empfängt, kann die Strukturierung im darunterliegenden Material fortgesetzt werden, da genügend organisches Material für alle nachfolgenden Ätzschritte übrig bleibt. Das RIE-Plasma schließt typischerweise Kohlenstoff, Fluor, Chlor, Brom, Schwefel, Wasserstoff, Sauerstoff oder eine Mischung daraus ein.
  • Zusätzlich sorgt eine Ausführungsform dieser Erfindung aufgrund der Ätzselektivität zwischen dem Resist, der reflexionsmindernden Hartmaskenzusammensetzung und der Materialschicht für eine korrekte Strukturübertragung. Durch Wählen einer RIE-Zusammensetzung auf CF-Basis wird die Strukturübertragung vom Resist in die reflexionsmindernde Hartmaskenzusammensetzung möglich, ohne viel Resist zu verbrauchen. Die hohe Ätzselektivität in Verbindung mit der Wahl der geeigneten Dicke für die reflexionsmindernde Hartmaskenzusammensetzung erlaubt auch die Verwendung dünnerer Resiste. Dann wird die Struktur in das darunterliegende Material übertragen. Die reflexionsmindernde Hartmaskenzusammensetzung weist aufgrund des Vorhandenseins der anorganischen Einheiten eine gute Ätzselektivität zur darunterliegenden Materialschicht auf. Der Fotoresist kann vor der Strukturierung der Materialschicht entfernt werden oder nicht. Die reflexionsmindernde Hartmaskenzusammensetzung kann durch jedes dem Fachmann bekannte Verfahren auf selektive Weise von der darunterliegenden Materialschicht entfernt werden. In manchen Fällen, vor allem, wenn die darunterliegende Materialschicht ein Silicium ist, das ein Low-k-Dielektrikum enthält, kann die reflexionsmindernde Hartmaskenzusammensetzung durch ein Nassablösen entfernt werden, das Fluorid, Tetramethylammoniumhydroxid oder Ammoniumhydroxid enthält.
  • Die erfindungsgemäßen Zusammensetzungen und die resultierenden lithografischen Strukturen können verwendet werden, um strukturierte Materialschichtstrukturen wie z. B. Metallverdrahtungsleitungen, Löcher für Kontakte oder Durchkontakte, Isolationsabschnitte (z. B. Damascene-Gräben oder flache Grabenisolation), Gräben für Kondensatorstrukturen und dergleichen herzustellen, die im Design von integrierten Schaltungen verwendet werden können. Die Zusammensetzungen sind im Kontext der Erzeugung strukturierter Oxid-, Nitrid- oder Polysiliciumschichten besonders nützlich.
  • Die reflexionsmindernde Hartmaske und die resultierende lithografische Struktur können verwendet werden, um auf dem Substrat strukturierte Merkmale wie z. B. Metallverdrahtungsleitungen, Löcher für Kontakte oder Durchkontakte, Isolationsabschnitte einschließlich Damascene-Gräben oder flache Grabenisolation, Gräben für Kondensatorstrukturen und dergleichen zu bilden, die im Design von integrierten Schaltungen verwendet werden können. Die Lehren hierein sind in der Herstellung von strukturierten Oxid-, Nitrid-, Polysilicium- oder Chrommaterialschichten nützlich.
  • Beispiele für allgemeine lithografische Prozesse, in welchen die erfindungsgemäße Zusammensetzung nützlich sein kann, werden in Douglas, US 4 855 017 A , „Trench Etch Process for a Single-Wafer RIE Dry Etch Reactor“, Bronner et al., US 5 362 663 A , „Method of Forming Double Well Substrate Plate Trench DRAM Cell Array“, Akiba et al., US 5 429 710 A , „Dry Etching Method“, Nulty, US 5 562 801 A , „Method of Etching an Oxide Layer“, Golden et al., US 5 618 751 A , „Method of Making Single-Steg Trenches Using Resist Fill Recess“, Chan et al., US 5 744 376 A , „Method of Manufacturing Copper Interconnect With Top Barrier Layer“, Yew et al., US 5 801 094 A , „Dual Damascene Process“, Shanmugham, US 5 821 469 A , „Device for Securing Cables in a Telecommunications System“, Kornblit, US 5 948 570 A , „Process for Dry Lithografie Etching“ offenbart. Weitere Beispiele für Strukturübertragungsprozesse werden in W. Moreau, SEMICONDUCTOR LITHOGRAPHY, PRINCIPLES, PRACTICES, AND MATERIALS, Kapitel 12-13 (1988) beschrieben. Auch wenn hierin beispielhafte lithografische Prozesse beschrieben und genannt werden, versteht es sich, dass die vorliegende Erfindung nicht auf eine bestimmte lithografische Technik oder Bauelementstruktur eingeschränkt ist.
  • Außerdem wird hierin eine strukturierte lithografische Struktur offenbart. Die strukturierte lithografische Struktur umfasst: ein Substrat; eine Materialschicht über dem Substrat; eine strukturierte reflexionsmindernde Hartmaskenschicht über der Materialschicht, wobei die strukturierte reflexionsmindernde Hartmaskenschicht die Zusammensetzung umfasst; und eine strukturierte strahlungsempfindliche Abbildungsschicht über der reflexionsmindernden Hartmaskenschicht.
  • BEISPIELE
  • Beispiel 1:Herstellung und Analyse einer reflexionsmindernden Hartmaskenschicht
  • Ein Probefläschchen wurde mit 4 g Dowanol® PM-Lösung von Aldrich, und 1 g Sol-Gel-Vorstufengemisch gefüllt. Die Dowanol® PM-Lösung wurde angesetzt, indem Dowanol® PM (100 g) und das Tensid FC-4430 (0,5 g) kombiniert wurden. Das 1 g Sol-Gel-Vorstufengemisch bestand aus 0,381 g Silan-Vorläufersubstanz A (0,45 Mol-%); 0,069 g Silan-Vorläufersubstanz B (0,1 Mol-%) und 0,549 g Ti(OC4H9)4, wobei die Silan-Vorläufersubstanz A eine Verbindung mit der folgenden Strukturformel war:
    Figure DE112010005304B4_0024
    und die Silan-Vorläufersubstanz B eine Verbindung mit der folgenden Strukturformel war:
    Figure DE112010005304B4_0025
  • Der Inhalt des Fläschchens wurde 5 Minuten lang bei Raumtemperatur gerührt, wonach 1 g 1 N HCl zugesetzt und unter starker Rühren dispergiert wurde. Die resultierende klare Lösung wurde 1 Stunde lang bei Raumtemperatur gerührt und dann durch ein 0,2-Mikron-Membranfilter gefiltert. Das Filtrat wurde direkt auf einen Siliciumwafer aufgetragen und 60 Sekunden lang bei 3.000 U/min geschleudert, wonach es 2 Minuten lang einer Temperatur von 215°C ausgesetzt wurde.
  • Die so hergestellte reflexionsmindernde Hartmaskenschicht wurde analysiert, um ihre Dicke und ihre optischen Konstanten zu bestimmen: den Brechungs- (n) und Extraktionskoeffizienten (k), gemessen bei 193 nm mit einem n & k Analyzer®, hergestellt von n & k Technology, Inc. Zusätzlich wurde eine Rutherford-Rückstreuanalyse (RBS) der Hartmaskenschicht durchgeführt. Die Ergebnisse dieser Analysen wurden in Tabelle 1 zusammengefasst.
  • Beispiel 2: Herstellung und Analyse einer reflexionsmindernden Hartmaskenschicht
  • Eine zweite reflexionsmindernde Hartmaskenschicht wurde gemäß dem in Beispiel 1 beschriebenen Verfahren hergestellt und analysiert, mit Ausnahme der Zusammensetzung der 1 Gramm Silan-Vorläufersubstanz, die dem Probefläschchen zugesetzt wurde.
  • In diesem Beispiel wurde die Silan-Vorläufersubstanz A in einem Gewicht von 0,444 g verwendet, die Silan-Vorläufersubstanz B in einem Gewicht von 0,081 g, und der dritte Bestandteil war Ge(OC2H5)4, das in einer Menge von 0,475 g vorlag.
  • Die sich wiederholenden Struktureinheiten der reflexionsmindernden Hartmaskenzusammensetzungsschichten von Beispiel 1 und 2 sind wie folgt:
    Figure DE112010005304B4_0026
  • Eine Zusammenfassung der reflexionsmindernden Hartmaskenzusammensetzungsschichten von Beispiel 1 und 2 ist in Tabelle 1 zu finden.
  • TABELLE 1
  • Reflexionsmindernde Hartmaskenschicht
    Bsp. Nr. Silan Vorläufer A, g Silan Vorläufer B, g Dritter Bestandteil, g Schichtdicke, Å n (193 nm) K (193 nm)
    1 0,381 0,069 Ti(OC4H9)4, 0,549 2332 1,735 0,301
    2 0,444 0,081 Ge(OC2H5)4, 0,475 3073 1,725 0,294
  • Atomare Konzentration, %
    Bsp. Nr. [S] [O] [C] [H] [CI] [Ti] [Ge]
    1 61 ± 2 19,3 ± 2 30,1 ± 2 37,3 ± 2 2,9 ± 0 4,3 ± 0,2 keine
    2 6,1 ± 2 18,9 ± 2 27,0 ± 2 40,9 ± 2 2,9 ± 0 keine 4,2 ± 0,2
  • Beispiel 3: Lithografische 193-nm-Schicht über der reflexionsmindernden Hartmaskenschicht
  • Eine 250 nm dicke Fotoresistschicht auf Acrylbasis wurde über der gehärteten reflexionsmindernden Hartmaskenschicht von Beispiel 1 schleuderbeschichtet. Diese strahlungsempfindliche Fotoresist-Abbildungsschicht wurde 60 Sekunden lang auf 130°C erhitzt. Die erhitzte Abbildungsschicht wurde dann mit einem 0,75 NA 193 nm ASML-Stepper mit konventioneller und ringförmiger Beleuchtung durch ein AFSM-Retikel bestrahlt.
  • Nach der strukturmäßigen Bestrahlung wurde die strahlungsempfindliche Abbildungsschicht 60 Sekunden lang auf 130°C erhitzt. Das Bild wurde mit einem konventionellen Entwickler (0,26 M TMAH) entwickelt. Die resultierende Struktur wies Kontaktlöcher mit 120 nm, Linien mit 113.75 nm und Raumstrukturen mit 104 nm auf.
  • Beispiel 4: Strukturübertragung durch reaktives lonenätzen
  • Die Kontaktlöcher, Linien und Raumstrukturen, die in Beispiel 3 auf der reflexionsmindernden Hartmaskenschicht gebildet wurden, wurden durch ein 20 Sekunden langes Ätzen auf Fluorkohlenstoffbasis mit einem LAM-RIE-Tool auf das Siliciumoxid übertragen.
  • Die beobachtete Ätzselektivität der reflexionsmindernden Hartmaskenschicht zum Fotoresist betrug 2:1. Die Ätzrate der Deckschichten von Beispiel 1 in einem Trifluormethan/Methan-Plasma war 613 Å/min; in einem Chlorplasma 813 Å/min; und in einem Stickstoff/Wasserstoff-Plasma 62 Å/min. Die Siliciumoxid-Ätzrate war 2000 Å/min.
  • Beispiel 5: Ablösen der reflexionsmindernden Hartmaskenzusammensetzungsschicht
  • Die reflexionsmindernde Hartmaskenzusammensetzungsschichten von Beispiel 1 und 2 wurden von den Siliciumwafer, auf welchen sie beschichtet waren, entfernt, indem die Wafer in eine flüssige Mischung aus Hydrogenfluorid/Ethylenglycol getaucht wurde, die angesetzt wurde, indem 2 ml 49-prozentiges HF in 250 ml Ethylenglycol bei 60°C gemischt wurde, wonach die so behandelten Wafer in AZ 400 T® (einer im Handel erhältlichen Ablöseformulierung), hergestellt von Clariant, bei 80°C getaucht wurden, wobei jeder Tauchvorgang 5 Minuten lang durchgeführt wurde.

Claims (18)

  1. Reflexionsmindernde Hartmaskenzusammensetzung, umfassend ein Polymer mit einer sich wiederholenden Struktureinheit, die aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0027
    Figure DE112010005304B4_0028
    und
    Figure DE112010005304B4_0029
    wobei x mindestens 1 ist; y mindestens 1 ist; R eine organische Funktionseinheit ist, die aus einem oder mehreren chromophoren Molekülteilen, transparenten Molekülteilen und Vernetzungskomponenten gewählt wird, wobei die anorganische Einheit aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0030
    und
    Figure DE112010005304B4_0031
    wobei x und y die oben genannten Bedeutungen haben; Md aus Ti, Zr, Hf, Sc, Y, La und Lanthanoid-Seltenerdmetallen gewählt wird; R1 gleich oder anders ist und aus C1-C6-Alkyl, C1-C6-Alkoxy, Phenyl und Phenoxy gewählt wird; und R2 gleich oder anders ist und aus C1-C6-Alkyl und C1-C6-Alkoxy gewählt wird.
  2. Zusammensetzung nach Anspruch 1, wobei das Polymer außerdem einen Säuregenerator umfasst.
  3. Zusammensetzung nach Anspruch 2, wobei das Polymer außerdem eine zusätzliche Vernetzungskomponente umfasst.
  4. Zusammensetzung nach Anspruch 3, wobei die zusätzliche Vernetzungskomponente aus Glycoluril, methoxyliertem Glycoluril, butyliertem Glycoluril, Tetramethoxymethylglycoluril, Methylpropyltetramethoxymethylglycoluril, 2,6-Bis(hydroxymethyl)-p-cresol, einem veretherten Aminoharz, einem methylierten Melaminharz, N-Methoxymethylmelamin, einem butylierten Melaminharz, N-Butoxymethylmelamin, einem Bis-Epoxy, einem Bisphenol, Bisphenol A und Mischungen daraus gewählt wird.
  5. Zusammensetzung nach Anspruch 3, wobei die zusätzliche Vernetzungskomponente in einer Konzentration, auf Feststoffbasis, zwischen 1 Gewichts-% und 20 Gewichts-% vorliegt.
  6. Zusammensetzung nach Anspruch 2, wobei der Säuregenerator aus 2,4,4,6-Tetrabromcyclohexadienon, Benzointosylat, 2-Nitrobenzyltosylat, einem Alkyl- oder Perfluoralkylester einer organischen Sulfonsäure und Mischungen daraus gewählt wird.
  7. Zusammensetzung nach Anspruch 2, wobei der Säuregenerator in einer Konzentration, auf Feststoffbasis, zwischen 1 Gewichts-% und 20 Gewichts-% vorliegt.
  8. Zusammensetzung nach Anspruch 1, umfassend ein organisches Lösungsmittel, das in einer Konzentration vorliegt, so dass der Feststoffanteil der Zusammensetzung zwischen 5 Gewichts-% und 20 Gewichts-% ist.
  9. Zusammensetzung nach Anspruch 1, wobei die Zusammensetzung die Form einer Schicht mit einer Dicke zwischen 30 nm und 500 nm aufweist.
  10. Zusammensetzung nach Anspruch 1, wobei das Polymer ein Gewichtsmittel der Molmasse von mindestens 500 aufweist.
  11. Zusammensetzung nach Anspruch 10, wobei das Gewichtsmittel der Molmasse im Bereich zwischen 1.000 und 10.000 liegt.
  12. Zusammensetzung nach Anspruch 1, wobei die anorganische Einheit die Formel
    Figure DE112010005304B4_0032
    aufweist, wobei Md, R1, x und y die oben genannten Bedeutungen haben.
  13. Verfahren zur Bildung eines strukturierten Materials auf einem Substrat, umfassend die Schritte: (a) Bereitstellen einer Materialschicht auf einem Substrat; (b) Bilden einer reflexionsmindernden Hartmaskenzusammensetzung über der Materialschicht; (c) Bilden einer strahlungsempfindlichen Abbildungsschicht über der reflexionsmindernden Hartmaskenzusammensetzung; (d) strukturmäßiges Bestrahlen der Abbildungsschicht, um dadurch eine Struktur bestrahlter Bereiche in der Abbildungsschicht zu erzeugen; (e) selektives Entfernen von Abschnitten der Abbildungsschicht und der darunterliegenden reflexionsmindernden Hartmaskenzusammensetzung, um Abschnitte der Materialschicht freizulegen; und (f) Ätzen der freigelegten Abschnitte der Materialschicht, um dadurch ein strukturiertes Materialmerkmal zu bilden wobei die reflexionsmindernde Hartmaskenzusammensetzung ein Polymer mit einer sich wiederholenden Struktureinheit umfasst, wobei die sich wiederholende Struktureinheit aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0033
    Figure DE112010005304B4_0034
    und
    Figure DE112010005304B4_0035
    wobei x mindestens 1 ist; y mindestens 1 ist; R eine organische Funktionseinheit ist, die aus einem oder mehreren chromophoren Molekülteilen, transparenten Molekülteilen und Vernetzungskomponenten gewählt wird, wobei die anorganische Einheit aus der Gruppe gewählt wird, bestehend aus
    Figure DE112010005304B4_0036
    und
    Figure DE112010005304B4_0037
    wobei x und y die oben genannten Bedeutungen haben; Md aus Ti, Zr, Hf, Sc, Y, La und Lanthanoid-Seltenerdmetallen gewählt wird; R1 gleich oder anders ist und aus C1-C6-Alkyl, C1-C6-Alkoxy, Phenyl und Phenoxy gewählt wird; und R2 gleich oder anders ist und aus C1-C6-Alkyl und C1-C6-Alkoxy gewählt wird.
  14. Verfahren nach Anspruch 13, wobei die Materialschicht ein leitendes Material, ein Halbleitermaterial, ein magnetisches Material, ein isolierendes Material, ein Metall, ein dielektrisches Material oder eine Kombination daraus ist.
  15. Verfahren nach Anspruch 14, wobei die Materialschicht aus Siliciumoxid, Siliciumnitrid, Polysilicium und einem Silicium, Kohlenstoff und Sauerstoff umfassenden Low-k-Dielektrikum gewählt wird.
  16. Verfahren nach Anspruch 13, wobei die Strahlung aus Ultraviolettstrahlung mit einer Wellenlänge kleiner als 200 nm und Elektronenstrahl-Strahlung gewählt wird.
  17. Verfahren nach Anspruch 13, wobei das Ätzen durch reaktives Ionenätzen in einem Plasma stattfindet, das Kohlenstoff, Fluor, Chlor, Brom, Schwefel, Wasserstoff, Sauerstoff oder Mischungen daraus umfasst.
  18. Verfahren nach Anspruch 13, wobei der Schritt (e) durch den Kontakt des Substrats mit einem Entwickler stattfindet, der aus organischen Lösungsmitteln, einer wässrigen Tetramethylammoniumhydroxid-Lösung, einer wässrigen Ammoniumhydroxid-Lösung und Mischungen daraus gewählt wird.
DE112010005304.9T 2010-02-24 2010-10-22 Reflexionsmindernde Hartmaskenzusammensetzung und Verfahren zur Herstellung eines strukturierten Materials damit Active DE112010005304B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/711,748 2010-02-24
US12/711,748 US8323871B2 (en) 2010-02-24 2010-02-24 Antireflective hardmask composition and a method of preparing a patterned material using same
PCT/US2010/053652 WO2011106040A1 (en) 2010-02-24 2010-10-22 Antireflective hardmask composition and a method of preparing a patterned material using same

Publications (3)

Publication Number Publication Date
DE112010005304T5 DE112010005304T5 (de) 2012-12-20
DE112010005304T8 DE112010005304T8 (de) 2013-03-14
DE112010005304B4 true DE112010005304B4 (de) 2022-07-28

Family

ID=44476797

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112010005304.9T Active DE112010005304B4 (de) 2010-02-24 2010-10-22 Reflexionsmindernde Hartmaskenzusammensetzung und Verfahren zur Herstellung eines strukturierten Materials damit

Country Status (6)

Country Link
US (1) US8323871B2 (de)
JP (1) JP2013522654A (de)
CN (1) CN102770807B (de)
DE (1) DE112010005304B4 (de)
GB (1) GB2489645A (de)
WO (1) WO2011106040A1 (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
JP5756134B2 (ja) 2013-01-08 2015-07-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物及びパターン形成方法
US9460934B2 (en) * 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
US10007184B2 (en) * 2016-09-01 2018-06-26 Rohm And Haas Electronic Materials Llc Silicon-containing underlayers

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4371605A (en) 1980-12-09 1983-02-01 E. I. Du Pont De Nemours And Company Photopolymerizable compositions containing N-hydroxyamide and N-hydroxyimide sulfonates
CA1204547A (en) 1981-02-13 1986-05-13 Rudolf Kirchmayr Curable composition based on an acid-curable resin, and process for curing this resin
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US5362663A (en) 1992-01-09 1994-11-08 International Business Machines Corporation Method of forming double well substrate plate trench DRAM cell array
US5429710A (en) 1993-02-25 1995-07-04 Sony Corporation Dry etching method
US5562801A (en) 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5618751A (en) 1996-05-23 1997-04-08 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
US5744376A (en) 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5801094A (en) 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US5821469A (en) 1996-12-18 1998-10-13 Lucent Technologies Inc. Device for securing cables in a telecommunications system
US5886102A (en) 1996-06-11 1999-03-23 Shipley Company, L.L.C. Antireflective coating compositions
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US6037097A (en) 1998-01-27 2000-03-14 International Business Machines Corporation E-beam application to mask making using new improved KRS resist system
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
EP1293339A1 (de) 2001-03-16 2003-03-19 Toray Industries, Inc. Laminierter polyesterfilm
JP2003157808A (ja) 2001-11-23 2003-05-30 Shogo Tsuchida 再利用可能式電球、蛍光燈等と分離可能式回路
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
JP2004158639A (ja) 2002-11-06 2004-06-03 Nec Tokin Ceramics Corp 電気二重層コンデンサ
JP2004172222A (ja) 2002-11-18 2004-06-17 Tokyo Electron Ltd 電子デバイスおよびその製造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2026190B (en) 1978-07-07 1982-11-10 Hitachi Ltd Liquid crystal display device
JPS59175725A (ja) 1983-03-26 1984-10-04 Toshiba Corp 多層レジスト膜
DE3439482A1 (de) 1984-10-27 1986-05-07 Röhm GmbH, 6100 Darmstadt Verfahren zur beschichtung von substraten mit kratzfesten, nichtreflektierenden ueberzuegen
JPH01147535A (ja) 1987-12-04 1989-06-09 Koujiyundo Kagaku Kenkyusho:Kk 多層レジスト膜
JPH01293339A (ja) 1988-05-23 1989-11-27 Tosoh Corp フォトレジスト組成物
FR2692275A1 (fr) 1992-06-10 1993-12-17 Du Pont Nouvelle laque à base de silicium et zirconium, son emploi en tant que revêtement de substrat et les substrats ainsi obtenus.
US5691101A (en) 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
US6669995B1 (en) 1994-10-12 2003-12-30 Linda Insalaco Method of treating an anti-reflective coating on a substrate
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US6013582A (en) 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6420084B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Mask-making using resist having SIO bond-containing polymer
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6743726B2 (en) 2002-07-11 2004-06-01 Promos Technologies, Inc. Method for etching a trench through an anti-reflective coating
JP2006507518A (ja) 2002-09-19 2006-03-02 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 半導体基板スタックからの画像形成層の除去方法
EP1422566A1 (de) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Mehrlagige Fotoresist-Systeme
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7030008B2 (en) 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
US7033735B2 (en) 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
US7141692B2 (en) 2003-11-24 2006-11-28 International Business Machines Corporation Molecular photoresists containing nonpolymeric silsesquioxanes
US8153344B2 (en) 2004-07-16 2012-04-10 Ppg Industries Ohio, Inc. Methods for producing photosensitive microparticles, aqueous compositions thereof and articles prepared therewith
US7320855B2 (en) 2004-11-03 2008-01-22 International Business Machines Corporation Silicon containing TARC/barrier layer
KR100682184B1 (ko) 2004-12-28 2007-02-12 주식회사 하이닉스반도체 감광막 패턴 수축용 조성물
JP4933063B2 (ja) 2005-06-24 2012-05-16 東京応化工業株式会社 パターン形成方法
US7326442B2 (en) 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
US7585613B2 (en) * 2006-01-25 2009-09-08 Shin-Etsu Chemical Co., Ltd. Antireflection film composition, substrate, and patterning process
JP4793592B2 (ja) * 2007-11-22 2011-10-12 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜、金属酸化物含有膜形成基板及びこれを用いたパターン形成方法
KR100930673B1 (ko) 2007-12-24 2009-12-09 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한재료의 패턴화 방법
JP5015891B2 (ja) * 2008-10-02 2012-08-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4371605A (en) 1980-12-09 1983-02-01 E. I. Du Pont De Nemours And Company Photopolymerizable compositions containing N-hydroxyamide and N-hydroxyimide sulfonates
CA1204547A (en) 1981-02-13 1986-05-13 Rudolf Kirchmayr Curable composition based on an acid-curable resin, and process for curing this resin
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US5362663A (en) 1992-01-09 1994-11-08 International Business Machines Corporation Method of forming double well substrate plate trench DRAM cell array
US5429710A (en) 1993-02-25 1995-07-04 Sony Corporation Dry etching method
US5562801A (en) 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5744376A (en) 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5618751A (en) 1996-05-23 1997-04-08 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
US5886102A (en) 1996-06-11 1999-03-23 Shipley Company, L.L.C. Antireflective coating compositions
US5821469A (en) 1996-12-18 1998-10-13 Lucent Technologies Inc. Device for securing cables in a telecommunications system
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US5801094A (en) 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6037097A (en) 1998-01-27 2000-03-14 International Business Machines Corporation E-beam application to mask making using new improved KRS resist system
US6514667B2 (en) 1998-06-29 2003-02-04 International Business Machines Corporation Tunable vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and applications thereof
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
EP1293339A1 (de) 2001-03-16 2003-03-19 Toray Industries, Inc. Laminierter polyesterfilm
JP2003157808A (ja) 2001-11-23 2003-05-30 Shogo Tsuchida 再利用可能式電球、蛍光燈等と分離可能式回路
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
JP2004158639A (ja) 2002-11-06 2004-06-03 Nec Tokin Ceramics Corp 電気二重層コンデンサ
JP2004172222A (ja) 2002-11-18 2004-06-17 Tokyo Electron Ltd 電子デバイスおよびその製造方法

Also Published As

Publication number Publication date
DE112010005304T5 (de) 2012-12-20
GB201213664D0 (en) 2012-09-12
CN102770807B (zh) 2015-05-06
GB2489645A (en) 2012-10-03
JP2013522654A (ja) 2013-06-13
US20110207047A1 (en) 2011-08-25
DE112010005304T8 (de) 2013-03-14
CN102770807A (zh) 2012-11-07
WO2011106040A1 (en) 2011-09-01
US8323871B2 (en) 2012-12-04

Similar Documents

Publication Publication Date Title
JP4042981B2 (ja) リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法
JP4384919B2 (ja) ハードマスク層用の反射防止SiO含有組成物
EP2783389B1 (de) Struktur mit unterstützungsschichten für die euv-lithografie und prozess zu deren bildung
KR100787332B1 (ko) 하드마스크용 조성물 및 이를 이용한 반도체 소자의 제조방법
EP2089770B1 (de) Verfahren zur erzeugung von fotolithografischen strukturen mit entwicklergetrimmter hartmaske
US7648820B2 (en) Antireflective hardmask and uses thereof
US7326442B2 (en) Antireflective composition and process of making a lithographic structure
KR100816735B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
JP4336310B2 (ja) ハードマスク層としてのシリコン含有反射防止層及びその形成方法
DE112014000485B4 (de) Silicium enthaltende Antireflexbeschichtungen, die Nicht-Polymere Silsesquioxane beinhalten
US20020187422A1 (en) Antireflective silicon-containing compositions as hardmask layer
EP3686672B1 (de) Verfahren zum beschichten einer hartmaskenzusammensetzung zur musterübertragung auf ein siliziumsubstrat
CN101335198A (zh) 形成半导体器件的精细图案的方法
DE112010005304B4 (de) Reflexionsmindernde Hartmaskenzusammensetzung und Verfahren zur Herstellung eines strukturierten Materials damit
KR100787331B1 (ko) 하드마스크용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR100725793B1 (ko) 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
EP3394675B1 (de) Materialien mit metalloxiden, verfahren zur herstellung davon und verfahren zur verwendung davon
KR100725794B1 (ko) 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
KR100783064B1 (ko) 유기실란계 화합물, 이를 포함하는 레지스트 하층막용하드마스크 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
Yamada et al. The development of an SC1 removable si-anti-reflective-coating
KR102678333B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
EP4339702A1 (de) Verbindung zur bildung eines metallhaltigen films, zusammensetzung zur bildung eines metallhaltigen films, strukturierungsverfahren und halbleiterfotoresistmaterial
KR20050025311A (ko) 하드마스크 층으로서 반사방지 규소 함유 조성물
KR20230160087A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
DE102023107991A1 (de) Verfahren zur herstellung einer halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0007000000

Ipc: G03F0007090000

Effective date: 20121105

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES , US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final