DE102022128596A1 - Packagingarchitektur mit integrierten schaltungs-dies über eingabe-/ausgabe-schnittstellen - Google Patents

Packagingarchitektur mit integrierten schaltungs-dies über eingabe-/ausgabe-schnittstellen Download PDF

Info

Publication number
DE102022128596A1
DE102022128596A1 DE102022128596.0A DE102022128596A DE102022128596A1 DE 102022128596 A1 DE102022128596 A1 DE 102022128596A1 DE 102022128596 A DE102022128596 A DE 102022128596A DE 102022128596 A1 DE102022128596 A1 DE 102022128596A1
Authority
DE
Germany
Prior art keywords
die
interconnects
circuitry
package
dies
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022128596.0A
Other languages
English (en)
Inventor
Gerald S. Pasdast
Yidnekachew Mekonnen
Adel A. Elsherbini
Peipei WANG
Vivek Kumar Rajan
Georgios Dogiamis
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102022128596A1 publication Critical patent/DE102022128596A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06582Housing for the assembly, e.g. chip scale package [CSP]
    • H01L2225/06586Housing with external bump or bump-like connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/37Effects of the manufacturing process
    • H01L2924/37001Yield

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Ausführungsformen der vorliegenden Offenbarung stellen eine mikroelektronische Baugruppe bereit, die Folgendes umfasst: einen ersten integrierten Schaltungs(IC)-Die, wobei der erste IC-Die eine Eingabe-/Ausgabe(EA)-Schaltung umfasst; und eine Mehrzahl von IC-Dies, wobei die Mehrzahl von IC-Dies einen zweiten IC-Die umfasst, wobei der zweite IC-Die eine Mikrosteuerungsschaltung zum Steuern der E/A-Schaltung umfasst, wobei der erste IC-Die und die Mehrzahl von IC-Dies mit Zwischenverbindungen gekoppelt sind, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen.

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Offenbarung betrifft Techniken, Verfahren und Einrichtungen, die auf eine Packagingarchitektur mit integrierten Schaltungs(IC)-Dies über Eingabe-/Ausgabe(EA)-Schnittstellen gerichtet sind.
  • HINTERGRUND
  • Elektronische Schaltungen werden, wenn sie wie üblich auf einem Wafer aus Halbleitermaterial, wie etwa Silicium, gefertigt sind, ICs genannt. Der Wafer mit solchen ICs wird typischerweise in zahlreiche einzelne Dies geschnitten. Die Dies können in ein IC-Gehäuse gekapselt werden, das einen oder mehrere Dies zusammen mit anderen elektronischen Komponenten, wie etwa Widerständen, Kondensatoren und Induktoren, enthält. Das IC-Gehäuse kann auf einem elektronischen System, wie etwa einem Unterhaltungselektroniksystem, oder Servern, wie etwa Großrechnern, integriert sein.
  • Figurenliste
  • Die Ausführungsformen werden durch die folgende ausführliche Beschreibung in Verbindung mit den begleitenden Zeichnungen leicht verständlich. Um diese Beschreibung zu vereinfachen, bezeichnen gleiche Bezugszeichen gleiche strukturelle Elemente. Ausführungsformen sind beispielhaft und nicht beschränkend in den Figuren der begleitenden Zeichnungen veranschaulicht.
    • 1 ist eine vereinfachte Draufsicht einer beispielhaften mikroelektronischen Baugruppe gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
    • 2 ist eine vereinfachte Querschnittsansicht der beispielhaften mikroelektronischen Baugruppe von 1 gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 3 ist eine vereinfachte Querschnittsansicht der beispielhaften mikroelektronischen Baugruppe von 1 gemäß einer anderen Ausführungsform der vorliegenden Offenbarung.
    • 4 ist eine vereinfachte Querschnittsansicht der beispielhaften mikroelektronischen Baugruppe von 1 gemäß noch einer anderen Ausführungsform der vorliegenden Offenbarung.
    • 5 ist eine vereinfachte Draufsicht und ein Blockdiagramm einer beispielhaften E/A-Schaltung gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
    • 6 ist eine vereinfachte Querschnittsansicht der beispielhaften mikroelektronischen Baugruppe von 1 gemäß noch einer anderen Ausführungsform der vorliegenden Offenbarung.
    • 7 ist eine vereinfachte Querschnittsansicht einer anderen beispielhaften mikroelektronischen Baugruppe gemäß Ausführungsformen der vorliegenden Offenbarung.
    • 8 ist eine vereinfachte Querschnittsansicht eines hybriden Bonds in der beispielhaften mikroelektronischen Baugruppe von 1 gemäß verschiedenen Ausführungsformen.
    • 9 ist eine Querschnittsansicht eines Vorrichtungsgehäuses, das eine oder mehrere mikroelektronische Baugruppen gemäß beliebigen der hierin offenbarten Ausführungsformen beinhaltet.
    • 10 ist eine seitliche Querschnittsansicht einer Vorrichtungsbaugruppe, die eine oder mehrere mikroelektronische Baugruppen gemäß beliebigen der hierin offenbarten Ausführungsformen beinhaltet.
    • 11 ist ein Blockdiagramm einer beispielhaften Rechenvorrichtung, die eine oder mehrere mikroelektronische Baugruppen gemäß beliebigen der hierin offenbarten Ausführungsformen beinhaltet.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Überblick
  • Zu Zwecken der Veranschaulichung von hierin beschriebenen IC-Gehäusen ist es wichtig, Phänomene zu verstehen, die während Montage und Packaging von ICs zum Tragen kommen können. Die folgenden grundlegenden Informationen können als eine Grundlage angesehen werden, von der aus die vorliegende Offenbarung angemessen erklärt werden kann. Diese Informationen werden lediglich zum Zweck einer Erklärung angeboten und sind dementsprechend in keiner Weise so auszulegen, dass sie den breiten Schutzumfang der vorliegenden Offenbarung und ihrer potenziellen Anwendungen einschränken.
  • Fortschritte bei der Halbleiterverarbeitung und dem Logikdesign haben eine Zunahme der Menge an Logikschaltungen ermöglicht, die in Prozessoren und anderen IC-Vorrichtungen enthalten sein kann. Infolgedessen weisen nun viele Prozessoren mehrere Kerne auf, die monolithisch auf einem einzelnen Die integriert sind. Im Allgemeinen werden diese Arten von monolithischen ICs auch als planar beschrieben, da sie die Gestalt einer flachen Oberfläche annehmen und typischerweise auf einem einzelnen Siliciumwafer aufgebaut sind, der aus einem monokristallinen Silicium-Einkristallkörper gefertigt ist. Der typische Herstellungsprozess für solche monolithischen ICs wird als ein Planarprozess bezeichnet, der ermöglicht, dass Fotolithografie-, Ätz, Wärmediffusions-, Oxidations- und andere derartige Prozesse auf der Oberfläche des Wafers stattfinden, so dass aktive Schaltungselemente (z. B. Transistoren und Dioden) auf der planaren Oberfläche des Siliciumwafers ausgebildet werden.
  • Derzeitige Technologien ermöglichen, dass hunderte und tausende solcher aktiver Schaltungselemente auf einem einzelnen Die ausgebildet werden, so dass zahlreiche Logikschaltungen darauf ermöglicht werden können. Bei solchen monolithischen Dies muss der Herstellungsprozess für alle Schaltungen gleichermaßen optimiert werden, was zu Kompromissen zwischen verschiedenen Schaltungen führt. Außerdem sind aufgrund der Beschränkung, dass Schaltungen auf einer planare Oberfläche platziert werden müssen, einige Schaltungen weiter von einigen anderen entfernt, was zu einer verringerten Leistungsfähigkeit, wie etwa längeren Verzögerungen, führt. Die Herstellungsausbeute kann ebenfalls stark beeinträchtigt sein, da der gesamte Die möglicherweise verworfen werden muss, falls nur eine einzige Schaltung nicht richtig funktioniert.
  • Eine Lösung zur Überwindung solcher negativer Auswirkungen monolithischer Dies besteht darin, die Schaltungen in kleinere IC-Dies (z. B. Einzelchips, Kacheln) zu zerlegen, die elektrisch durch Zwischenverbindungsbrücken gekoppelt sind. Die kleineren Dies sind Teil einer Baugruppe miteinander verbundener Dies, die zusammen eine hinsichtlich einer Anwendung und/oder Funktionalität vollständige IC bilden, wie etwa einen Speicherchip, einen Mikroprozessor, eine Mikrosteuerung, eine handelsübliche IC (z. B. einen Chip, der für sich wiederholende Verarbeitungsroutinen, einfache Aufgaben, eine anwendungsspezifische IC usw. verwendet wird) und ein System-on-a-Chip (SOC). Mit anderen Worten werden die einzelnen Dies miteinander verbunden, um die Funktionalitäten einer monolithischen IC zu erzeugen. Durch Verwenden separater Dies kann jeder einzelne Die für eine spezielle Funktionalität optimal ausgestaltet und hergestellt werden. Beispielsweise könnte ein Prozessorkern, der Logikschaltungen enthält, auf Leistungsfähigkeit abzielen und somit ein sehr geschwindigkeitsoptimiertes Layout erfordern. Er weist somit andere Herstellungsanforderungen im Vergleich zu einer USB-Steuerung (USB: Universal Serial Bus) auf, die konstruiert ist, gewisse USB-Standards zu erfüllen, und nicht auf Verarbeitungsgeschwindigkeit. Somit können, indem unterschiedliche Teile des Gesamtdesigns in unterschiedliche Dies separiert werden, die jeweils hinsichtlich Design und Herstellung optimiert werden, die Gesamtausbeute und Gesamtkosten der kombinierten Die-Lösung verbessert werden.
  • Die Konnektivität zwischen diesen Dies ist auf viele unterschiedliche Arten erzielbar. Zum Beispiel verbinden bei 2.5D-Packaginglösungen ein Siliciuminterposer und Substrat-Durchkontaktierungen (TSVs: Through-Substrate Vias), die auch Silicium-Durchkontaktierungen genannt werden, wenn das Substrat Silicium ist, Dies mit einer Siliciumzwischenverbindungsgeschwindigkeit auf einer minimalen Grundfläche. In einem anderen Beispiel ermöglichen Zwischenverbindungsbrücken (z. B. Embedded Multi-Die Interconnect Bridge (EMIB™)), die unter den Kanten von zwei miteinander zu verbindenden Dies eingebettet werden, eine elektrische Kopplung zwischen diesen. In einer dreidimensionalen (3D) Architektur werden die Dies übereinander gestapelt, was insgesamt eine kleinere Grundfläche erzeugt. Typischerweise werden die elektrische Konnektivität und mechanische Kopplung in einer derartigen 3D-Architektur unter Verwendung von TSVs und lotbasierten Kontakthügeln mit hohem Rastermaß (z. B. C2-Zwischenverbindungen) erzielt. Die EMIB und die gestapelte 3D-Architektur können auch unter Verwendung einer omnidirektionalen Zwischenverbindung (ODI: Omni-Directional Interconnect) kombiniert werden, bei der EMIB-Chips in eine organische Vergussmasse eingebettet werden, was ermöglicht, dass oben verkapselte Chips mit anderen Chips horizontal unter Verwendung der EMIB und vertikal unter Verwendung von Verguss-Durchkontaktierungen (TMVs: Through-Mold Vias) kommunizieren, die typischerweise größer als TSVs sind. Jedoch verwenden diese aktuellen Zwischenverbindungstechnologien Lot oder ein Äquivalent dazu zur Konnektivität mit einer daraus folgenden niedrigen vertikalen und horizontalen Zwischenverbindungsdichte. Zum Beispiel weisen typische Flip-Chip-Lötkontakthügel ein Rastermaß von 112-150 Mikrometer auf und hundert solcher Kontakthügel mit einem Rastermaß von 112 Mikrometer belegen eine Fläche von ungefähr 1,21 Quadratmillimetern.
  • Bei den meisten IC-Die-Designs füllen Logik und Speicher das Zentrum eines Chips und die E/A befindet sich an der Peripherie, und die E/A-Größe wird durch die Anzahl von Spuren oder Datenkanälen beeinflusst. Zum Beispiel umfasst Allzweck-E/A (GPIO: General-Purpose IO) des Agilex-Schnittstellensystems von Intel zwei E/A-Schaltungen, eine, die in der Nähe der Peripherie des IC-Die platziert ist, und eine andere, die in der Nähe eines Kerns eines feldprogrammierbaren Gate-Arrays (FPGA: Field Programmable Gate Array), weiter von der Peripherie des IC-Die entfernt, platziert ist. In jeder solchen E/A-Schaltung gibt es 4 E/A-Spuren mit 12 E/A-Stiften in jeder Spur, die insgesamt 48 Single-Ended-E/A-Stifte oder 24 differenzielle E/A-Paare bilden. Bei einem anderen Beispiel können IC-Dies für Vernetzungs- und Datenzentrumsanwendungen etwa 120 bis 200 Spuren eines Serialisierers/Deserialisierers (SerDes) in dem E/A-Bereich umfassen. Wenn die Anzahl von E/A-Instanziierungen und die E/A-Spurenzahl mit der Zeit bei zukünftigen IC-Die-Designs zunehmen, wird zusätzlich zu der Komplexität erwähnter E/A-Schaltungsarchitekturen zum Erreichen immer schnellerer Geschwindigkeiten von Generation zu Generation ein größerer Prozentsatz der gesamten SOC-Die-Fläche durch Nicht-Kern-Teile (d. h. Nicht-Rechen-Teile) von SOCs verbraucht, die E/A-Schaltungen und analoge Schaltungen, wie etwa Phasenregelschleifen (PLLs: Phase-Locked-Loops), integrierte Leistungsregler und andere, beinhalten.
  • In dieser Hinsicht hilft eine Architektur mit quasi-monolithischer hierarchischer Integration unter Verwendung einer rekursiv gekoppelten Mehrzahl von Dies zum Ausbilden mikroelektronischer Baugruppen dabei, einige der oben erwähnten Nachteile zu mildern. Die Mehrzahl von Dies kann aktive Dies und/oder passive Dies umfassen, und zumindest ein Teil in der Mehrzahl von Dies wird unter Verwendung von Die-zu-Die(DTD)-Zwischenverbindungen mit einem Rastermaß von unter 10 Mikrometer gekoppelt, die auch als „hybride Bonds“, „hybride Zwischenverbindungen“ oder „direkte Bondzwischenverbindungen“ bezeichnet werden. Mit anderen Worten beträgt der Abstand von Mitte zu Mitte zwischen angrenzenden hochdichten Zwischenverbindungen weniger als oder gleich 10 Mikrometer. Bei derartigen quasi-monolithischen Strukturen werden IC-Dies in mehreren Schichten mit einem anorganischen Dielektrikum zwischen den Schichten und um die IC-Dies herum gestapelt. Durch Verwenden hybrider Bonds oder eines Äquivalents mit einem Rastermaß von weniger als 10 Mikrometer kann eine effektive Zwischenverbindungsfläche gegenüber einer entsprechenden Zwischenverbindungsfläche mit der gleichen Anzahl von Flip-Chip-Zwischenverbindungen erheblich reduziert werden. Zum Beispiel belegen bei einem Rastermaß von 9 Mikrometer 100 hybride Bonds eine effektive Fläche von ungefähr 0,0081 Quadratmillimeter, was mehrere Größenordnungen kleiner ist (z. B. 151,25-facher Verkleinerungsfaktor) als mit Flip-Chip-Zwischenverbindungen mit einem Rastermaß von 112 Mikrometer. Eine elektrische Kopplung durch das Dielektrikum wird mit Dielektrikum-Durchkontaktierungen (TDVs: Through-Dielectric Vias) implementiert, die Durchgangsstrukturen sind, d. h. sie stellen einen elektrischen Pfad zwischen Schichten ohne irgendeine dazwischenliegende Schaltungsanordnung bereit.
  • Ausführungsformen der vorliegenden Offenbarung stellen eine mikroelektronische Baugruppe bereit, die Folgendes umfasst: einen ersten IC-Die, wobei der erste IC-Die eine E/A-Schaltung umfasst; und eine Mehrzahl von IC-Dies, wobei die Mehrzahl von IC-Dies einen zweiten IC-Die umfasst, wobei der zweite IC-Die eine Mikrosteuerungsschaltung zum Steuern der E/A-Schaltung umfasst. Der erste IC-Die und die Mehrzahl von IC-Dies sind mit Zwischenverbindungen gekoppelt, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen. Solche Zwischenverbindungen können bei einigen Ausführungsformen mit einer Zwischenverbindungsdichte von mehr als 10.000 Verbindungen pro Quadratmillimeter verteilt sein, was proportional zu und von derselben Größenordnung wie das Leiterbahnrastermaß und/oder die Durchkontaktierungsdichte ist, die im Allgemeinen in einem IC-Die (z. B. in Metallisierungsstapeln des IC-Die oberhalb des aktiven Gebiets) zu finden ist, im Gegensatz zu einer Zwischenverbindungsdichte zwischen IC-Dies älterer Packagingtechnologien (z. B. bei lotbasierten C4- oder größeren Zwischenverbindungen). Zwischenverbindungen, die mit einer solchen Zwischenverbindungsdichte angeordnet sind, können ein Rastermaß zwischen 0,5 Mikrometer und 10 Mikrometer aufweisen (d. h. in einer Ausführungsform können die Zwischenverbindungen ein Rastermaß von 0,5 Mikrometer aufweisen; in einer anderen Ausführungsform können die Zwischenverbindungen ein Rastermaß von 2 Mikrometer aufweisen; in einigen Ausführungsformen können einige Zwischenverbindungen ein Rastermaß von 0,5 Mikrometer aufweisen, wohingegen andere Zwischenverbindungen ein Rastermaß von 8 Mikrometer aufweisen können usw.).
  • Ausführungsformen der vorliegenden Offenbarung stellen zudem ein IC-Gehäuse bereit, das Folgendes umfasst: einen ersten IC-Die, der eine E/A-Schaltung an einer Peripherie des ersten IC-Die umfasst (z. B. ist mindestens eine Kante der E/A-Schaltung zwischen 10 Mikrometer und 100 Mikrometer von der Kante des ersten IC-Die entfernt); einen zweiten IC-Die, der eine Mikrosteuerungsschaltung zum Steuern der E/A-Schaltung umfasst; und ein Gehäusesubstrat, das mit dem ersten IC-Die gekoppelt ist. Der erste IC-Die befindet sich zwischen dem zweiten IC-Die und dem Gehäusesubstrat, der zweite IC-Die ist angrenzend an die E/A-Schaltung des ersten IC-Die angeordnet, wobei der erste IC-Die und der zweite IC-Die mit ersten Zwischenverbindungen gekoppelt sind, die ein erstes Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen, und der erste IC-Die und das Gehäusesubstrat mit zweiten Zwischenverbindungen gekoppelt sind, die ein zweites Rastermaß von mehr als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen.
  • Ausführungsformen der vorliegenden Offenbarung stellen zudem eine IC bereit, die Folgendes umfasst: eine physikalische (PHY) E/A-Schnittstelle, die ein Datenregister umfasst; und eine Mikrosteuerungsschaltung, die mit dem Datenregister leitfähig gekoppelt ist. Die PHY-E/A-Schnittstelle befindet sich in einem ersten IC-Die, die Mikrosteuerungsschaltung befindet sich in einem zweiten IC-Die und der erste IC-Die und der zweite IC-Die sind durch Zwischenverbindungen gekoppelt, die ein Rastermaß von weniger als 10 Mikrometer aufweisen.
  • Jede(s) der Strukturen, Baugruppen, Gehäuse, Verfahren, Vorrichtungen und Systeme der vorliegenden Offenbarung kann mehrere innovative Aspekte aufweisen, wobei kein einzelner von diesen allein für sämtliche der hierin offenbarten erwünschten Attribute verantwortlich ist. Einzelheiten einer oder mehrerer Implementierungen des in dieser Patentschrift beschriebenen Gegenstands sind in der nachstehenden Beschreibung und den begleitenden Zeichnungen dargelegt.
  • In der folgenden ausführlichen Beschreibung können verschiedene Aspekte der veranschaulichenden Implementierungen unter Verwendung von Begriffen beschrieben sein, die von Fachleuten üblicherweise eingesetzt werden, um anderen Fachleuten den Inhalt ihrer Arbeit zu vermitteln.
  • Die Begriffe „Schaltung“ und „Schaltungsanordnung“ bedeuten eine oder mehrere passive und/oder aktive elektrische und/oder elektronische Komponenten, die derart angeordnet sind, dass sie miteinander zusammenwirken, um eine gewünschte Funktion bereitzustellen. Die Begriffe beziehen sich auch auf eine analoge Schaltungsanordnung, digitale Schaltungsanordnung, festverdrahtete Schaltungsanordnung, programmierbare Schaltungsanordnung, Mikrosteuerungsschaltungsanordnung und/oder eine beliebige andere Art von physischer elektrischer und/oder elektronischer Hardwarekomponente.
  • Der Begriff „integrierte Schaltung“ meint eine Schaltung, die in einen monolithischen Halbleiter oder ein analoges Material integriert ist.
  • Bei einigen Ausführungsformen können die hierin offenbarten IC-Dies im Wesentlichen monokristalline Halbleiter, wie etwa Silicium oder Germanium, als ein Basismaterial (z.
  • B. Substrat, Körper) umfassen, auf dem integrierte Schaltungen mit herkömmlichen Halbleiterverarbeitungsverfahren gefertigt werden. Das Halbleiterbasismaterial kann zum Beispiel n-Typ- oder p-Typ-Materialien beinhalten. Dies können zum Beispiel ein kristallines Basismaterial beinhalten, das unter Verwendung einer Struktur aus Bulk-Silicium (oder einem anderen Bulk-Halbleitermaterial) oder einer Halbleiter-auf-Isolator(SOI)-Struktur (SOI: Semiconductor-On-Insulator, z. B. einer Silicium-auf-Isolator-Struktur) ausgebildet wird. Bei einigen Ausführungsformen kann das Basismaterial eines oder mehrerer der IC-Dies alternative Materialien umfassen, die mit Silicium kombiniert sein können oder nicht, die, ohne jedoch darauf beschränkt zu sein, Germanium, Indiumantimonid, Bleitellurid, Indiumarsenid, Indiumphosphid, Galliumarsenid, Indiumgalliumarsenid, Galliumantimonid oder andere Kombinationen von Gruppe-III-N-, Gruppe-III-V-, Gruppe-II-VI- oder Gruppe-IV-Materialien beinhalten. Bei noch anderen Ausführungsformen kann das Basismaterial Verbindungshalbleiter umfassen, zum Beispiel mit einem ersten Subgitter aus mindestens einem Element aus Gruppe III des Periodensystems (z. B. Al, Ga, In) und einem zweiten Subgitter aus mindestens einem Element aus Gruppe V des Periodensystems (z. B. P, As, Sb). Bei noch anderen Ausführungsformen kann das Basismaterial ein intrinsisches IV- oder III-V-Halbleitermaterial oder eine Legierung, die nicht absichtlich mit irgendeinem elektrisch aktiven Fremdstoff dotiert ist, umfassen; bei alternativen Ausführungsformen können nominale Fremdstoffdotierungsmittelniveaus vorhanden sein. Bei noch anderen Ausführungsformen können Dies ein nichtkristallines Material, wie etwa Polymere, umfassen; zum Beispiel kann das Basismaterial mit Siliciumdioxid gefülltes Epoxid umfassen. Bei anderen Ausführungsformen kann das Basismaterial ein Oxidhalbleitermaterial mit hoher Beweglichkeit umfassen, wie etwa Zinnoxid, Antimonoxid, Indiumoxid, Indiumzinnoxid, Titanoxid, Zinkoxid, Indiumzinkoxid, Indiumgalliumzinkoxid (IGZO), Galliumoxid, Titanoxinitrid, Rutheniumoxid oder Wolframoxid. Im Allgemeinen kann das Basismaterial eines oder mehrere von Zinnoxid, Kobaltoxid, Kupferoxid, Antimonoxid, Rutheniumoxid, Wolframoxid, Zinkoxid, Galliumoxid, Titanoxid, Indiumoxid, Titanoxinitrid, Indiumzinnoxid, Indiumzinkoxid, Nickeloxid, Nioboxid, Kupferperoxid, IGZO, Indiumtellurid, Molybdänit, Molybdändiselenid, Wolframdiselenid, Wolframdisulfid, amorphem oder polykristallinem n- oder p-Typ-Silicium, Germanium, Indiumgalliumarsenid, Siliciumgermanium, Galliumnitrid, Aluminiumgalliumnitrid, Indiumphosphid und schwarzem Phosphor umfassen, die jeweils möglicherweise mit einem oder mehreren von Gallium, Indium, Aluminium, Fluor, Bor, Phosphor, Arsen, Stickstoff, Tantal, Wolfram und Magnesium usw. dotiert sein können. Wenngleich hierin einige Beispiele des Materials für Dies beschrieben sind, fällt ein beliebiges Material oder eine beliebige Struktur, das bzw. die als eine Grundlage (z. B. Basismaterial) dienen kann, auf der IC-Schaltungen und -Strukturen wie hierin beschrieben aufgebaut werden können, in den Gedanken und den Schutzumfang der vorliegenden Offenbarung.
  • Sofern nicht anders beschrieben, beinhalten hierin beschriebene IC-Dies eine oder mehrere IC-Strukturen (oder einfach „ICs“), die eine gewisse Funktionalität implementieren (d. h. zum Durchführen dieser konfiguriert sind). Bei einem solchen Beispiel kann der Begriff „Speicher-Die“ verwendet werden, um einen Die zu beschreiben, der eine oder mehrere ICs beinhaltet, die eine Speicherschaltungsanordnung implementieren (z. B. ICs, die eine(s) oder mehrere von Speichervorrichtungen, Speicherarrays, Steuerlogik, die zum Steuern der Speichervorrichtungen und -arrays konfiguriert ist, usw. implementieren). In einem anderen solchen Beispiel kann der Begriff „Rechen-Die“ verwendet werden, um einen Die zu beschreiben, der eine oder mehrere ICs beinhaltet, die eine Logik-/Rechenschaltungsanordnung implementieren (z. B. ICs, die eine(s) oder mehrere von E/A-Funktionen, arithmetischen Operationen, Pipeline-Verarbeitung von Daten usw. implementieren).
  • Bei einem anderen Beispiel sind die Begriffe „Gehäuse“ und „IC-Gehäuse“ synonym, ebenso wie die Begriffe „Die“ und „IC-Die“. Es sei angemerkt, dass die Begriffe „Chip“, „Die“ und „IC-Die“ hierin austauschbar verwendet werden.
  • Der Begriff „isolierend“ bedeutet „elektrisch isolierend“, der Begriff „leitfähig“ bedeutet „elektrisch leitfähig“, sofern nichts anderes angegeben ist. Unter Bezugnahme auf optische Signale und/oder Vorrichtungen, Komponenten und Elemente, die anhand von oder unter Verwendung von optischen Signalen arbeiten, kann der Begriff „leitfähig“ auch „optisch leitfähig“ bedeuten.
  • Die Begriffe „Oxid“, „Carbid“, „Nitrid“ usw. verweisen auf Verbindungen, die Sauerstoff, Kohlenstoff bzw. Stickstoff usw. enthalten.
  • Der Begriff „High-k-Dielektrikum“ verweist auf ein Material mit einer höheren dielektrischen Konstante als Siliciumoxid, während der Ausdruck „Low-k-Dielektrikum“ auf ein Material mit einer niedrigeren dielektrischen Konstante als Siliciumoxid verweist.
  • Der Begriff „Isolationsmaterial“ oder „Isolator“ (hierin auch als „dielektrisches Material“ oder „Dielektrikum“ bezeichnet) verweist auf feste Materialien (und/oder flüssige Materialien, die nach einer Verarbeitung wie hierin beschrieben erstarren), die im Wesentlichen elektrisch nicht leitfähig sind. Sie können, beispielhaft und nicht einschränkend, organische Polymere und Kunststoffe sowie anorganische Materialien, wie etwa ionische Kristalle, Porzellan, Glas, Silicium, Siliciumoxid, Siliciumcarbid, Siliciumcarbonitrid, Siliciumnitrid und Aluminiumoxid oder eine Kombination davon beinhalten. Sie können dielektrische Materialien, Materialien mit hoher Polarisierbarkeit und/oder piezoelektrische Materialien beinhalten. Sie können transparent oder opak sein, ohne vom Schutzumfang der vorliegenden Offenbarung abzuweichen. Weitere Beispiele für Isolationsmaterialien sind Unterfüllungen und Vergussmassen oder vergussartige Materialien, die in Packaginganwendungen verwendet werden, einschließlich zum Beispiel Materialien, die in organischen Interposern, Gehäusestützen und anderen derartigen Komponenten verwendet werden.
  • Bei verschiedenen Ausführungsformen können mit einer IC assoziierte Elemente zum Beispiel Transistoren, Dioden, Leistungsquellen, Widerstände, Kondensatoren, Induktoren, Sensoren, Sendeempfänger, Empfänger, Antennen usw. beinhalten. Bei verschiedenen Ausführungsformen können mit einer IC assoziierte Elemente jene beinhalten, die monolithisch in eine IC integriert sind, auf einer IC montiert sind, oder jene, die mit einer IC verbunden sind. Die hierin beschriebenen ICs können entweder analog oder digital sein und können in Abhängigkeit von den mit der IC assoziierten Komponenten in einer Anzahl von Anwendungen, wie etwa Mikroprozessoren, Optoelektronik, Logikblöcken, Audioverstärkern usw., verwendet werden. Die hierin beschriebenen ICs können in einem einzelnen IC-Die oder als Teil eines Chipsatzes zum Ausführen einer oder mehrerer zugehöriger Funktionen in einem Computer eingesetzt werden.
  • Bei verschiedenen Ausführungsformen der vorliegenden Offenbarung können hierin beschriebene Transistoren Feldeffekttransistoren (FETs: Field Effect Transistors), z. B. Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFETs: Metal-Oxide Semiconductor Field Effect Transistors), sein. Im Allgemeinen ist ein FET eine Vorrichtung mit drei Anschlüssen, die einen Source-, einen Drain- und einen Gate-Anschluss beinhaltet und ein elektrisches Feld zum Steuern eines durch die Vorrichtung fließenden Stroms verwendet. Ein FET beinhaltet typischerweise ein Kanalmaterial, ein Source-Gebiet und ein Drain-Gebiet, die in und/oder über dem Kanalmaterial bereitgestellt sind, sowie einen Gate-Stapel, der ein Gate-Elektrodenmaterial beinhaltet, das alternativ dazu als ein „Austrittsarbeit“-Material bezeichnet wird, das über einem Teil des Kanalmaterials (dem „Kanalteil“) zwischen dem Source- und dem Drain-Gebiet bereitgestellt ist, und optional auch ein Gate-Dielektrikumsmaterial zwischen dem Gate-Elektrodenmaterial und dem Kanalmaterial beinhaltet.
  • Generell bezieht sich eine „Zwischenverbindung“ auf ein beliebiges Element, das eine physische Verbindung zwischen zwei anderen Elementen bereitstellt. Zum Beispiel stellt eine elektrische Zwischenverbindung eine elektrische Konnektivität zwischen zwei elektrischen Komponenten bereit, wodurch eine Kommunikation elektrischer Signale zwischen ihnen ermöglicht wird; eine optische Zwischenverbindung stellt eine optische Konnektivität zwischen zwei optischen Komponenten bereit, wodurch eine Kommunikation optischer Signale zwischen ihnen ermöglicht wird. Wie hierin verwendet, umfasst der Begriff „Zwischenverbindung“ sowohl elektrische Zwischenverbindungen als auch optische Zwischenverbindungen. Die Natur der beschriebenen Zwischenverbindung ist hierin unter Bezugnahme auf das damit assoziierte Signalmedium zu verstehen. Somit beschreibt der Begriff „Zwischenverbindung“, wenn er unter Bezugnahme auf eine elektronische Vorrichtung, wie etwa eine IC, die unter Verwendung elektrischer Signale arbeitet, verwendet wird, ein beliebiges Element, das aus einem elektrisch leitfähigen Material ausgebildet ist, um eine elektrische Konnektivität zu einem oder mehreren Elementen, die mit der IC assoziiert sind, oder/und zwischen verschiedenen solchen Elementen bereitzustellen. In solchen Fällen kann sich der Begriff „Zwischenverbindung“ sowohl auf leitfähige Bahnen (die manchmal auch als „Leitungen“, „Drähte“, „Metallleitungen“ oder „Gräben“ bezeichnet werden) als auch auf leitfähige Durchkontaktierungen (manchmal auch als „Durchkontaktierungen“ oder „Metall-Durchkontaktierungen“ bezeichnet) beziehen. Manchmal können elektrisch leitfähige Bahnen und Durchkontaktierungen als „leitfähige Bahnen“ bzw. „leitfähige Durchkontaktierungen“ bezeichnet werden, um die Tatsache hervorzuheben, dass diese Elemente elektrisch leitfähige Materialien, wie etwa Metalle, beinhalten. Gleichermaßen kann der Begriff „Zwischenverbindung“, wenn er unter Bezugnahme auf eine Vorrichtung, die auch anhand von optischen Signalen arbeitet, wie etwa eine photonische IC (PIC: Photonic IC), verwendet wird, auch ein beliebiges Element beschreiben, das aus einem Material ausgebildet ist, das optisch leitfähig ist, um eine optische Konnektivität zu einem oder mehreren Elementen bereitzustellen, die mit der PIC assoziiert sind. In solchen Fällen kann sich der Begriff „Zwischenverbindung“ auf optische Wellenleiter (z. B. Strukturen, die Lichtwellen leiten und begrenzen) beziehen, einschließlich optische Fasern, optische Teiler, optische Kombinatoren, optische Koppler und optische Durchkontaktierungen.
  • Der Begriff „leitfähige Bahn“ kann verwendet werden, um ein elektrisch leitfähiges Element zu beschreiben, das durch ein Isolationsmaterial isoliert ist. In IC-Dies umfasst ein solches Isolationsmaterial ein Low-k-Zwischenschichtdielektrikum, das innerhalb des IC-Die bereitgestellt ist. In Gehäusesubstraten und Leiterplatten (PCBs: Printed Circuit Boards) umfasst ein solches Isolationsmaterial organische Materialien, wie etwa Ajinomoto Buildup-Film (ABF), Polyimide oder Epoxidharz. Solche leitfähigen Bahnen sind typischerweise in mehreren Ebenen oder mehreren Schichten von Metallisierungsstapeln angeordnet.
  • Der Begriff „leitfähige Durchkontaktierung“ kann verwendet werden, um ein elektrisch leitfähiges Element zu beschrieben, das zwei oder mehr leitfähige Leitungen unterschiedlicher Ebenen eines Metallisierungsstapels miteinander verbindet. Zu diesem Zweck kann eine Durchkontaktierung im Wesentlichen senkrecht zu der Ebene eines IC-Die/-Chips oder einer Stützstruktur bereitgestellt sein, über der eine IC-Struktur bereitgestellt ist, und kann zwei leitfähige Leitungen in angrenzenden Ebenen oder zwei leitfähige Leitungen in nicht angrenzenden Ebenen miteinander verbinden.
  • Der Begriff „Metallisierungsstapel“ kann verwendet werden, um auf einen Stapel aus einer oder mehreren Zwischenverbindungen zum Bereitstellen einer Konnektivität zu unterschiedlichen Schaltungskomponenten eines IC-Die/-Chips und/oder eines Gehäusesubstrats zu verweisen.
  • Wie hierin verwendet, verweist der Begriff „Rastermaß“ von Zwischenverbindungen auf einen Mittenabstand zwischen angrenzenden Zwischenverbindungen.
  • Im Kontext eines Stapels von Dies, die miteinander gekoppelt sind, oder im Kontext eines Die, der mit einem Gehäusesubstrat gekoppelt ist, kann sich der Begriff „Zwischenverbindung“ auch auf DTD-Zwischenverbindungen bzw. Die-zu-Gehäusesubstrat(DTPS)-Zwischenverbindungen (DTPS: Die-to-Package Substrate) beziehen.
  • Obwohl dies nicht in allen der vorliegenden Veranschaulichungen speziell gezeigt ist, um die Zeichnungen nicht unübersichtlich zu machen, kann eine Oberfläche eines ersten Die, wenn DTD- oder DTPS-Zwischenverbindungen beschrieben werden, einen ersten Satz leitfähiger Kontakte beinhalten, und eine Oberfläche eines zweiten Die oder eines Gehäusesubstrats kann einen zweiten Satz leitfähiger Kontakte beinhalten. Ein oder mehrere leitfähige Kontakte des ersten Satzes können dann durch die DTD- oder DTPS-Zwischenverbindungen elektrisch und mechanisch mit einigen der leitfähigen Kontakte des zweiten Satzes gekoppelt werden.
  • Bei einigen Ausführungsformen kann sich das Rastermaß der DTD-Zwischenverbindungen von dem Rastermaß der DTPS-Zwischenverbindungen unterscheiden, obwohl bei anderen Ausführungsformen diese Rastermaße im Wesentlichen gleich sein können.
  • Die hierin offenbarten DTPS-Zwischenverbindungen können eine beliebige geeignete Gestalt annehmen. Bei einigen Ausführungsformen kann ein Satz von DTPS-Zwischenverbindungen Lot (z. B. Lötkontakthügel oder -kugeln, die einem thermischen Wiederaufschmelzen unterzogen werden, um die DTPS-Zwischenverbindungen auszubilden) beinhalten. DTPS-Zwischenverbindungen, die Lot beinhalten, können ein beliebiges geeignetes Lotmaterial beinhalten, wie etwa Blei/Zinn, Zinn/Bismut, eutektisches Zinn/Silber, ternäres Zinn/Silber/Kupfer, eutektisches Zinn/Kupfer, Zinn/Nickel/Kupfer, Zinn/Bismut/Kupfer, Zinn/Indium/Kupfer, Zinn/Zink/Indium/Bismut oder andere Legierungen. Bei einigen Ausführungsformen kann ein Satz von DTPS-Zwischenverbindungen ein anisotropes leitfähiges Material, wie etwa einen anisotropen leitfähigen Film oder eine anisotrope leitfähige Paste, beinhalten. Ein anisotropes leitfähiges Material kann leitfähige Materialien beinhalten, die in einem nicht leitfähigen Material dispergiert sind. Bei einigen Ausführungsformen kann ein anisotropes leitfähiges Material mikroskopische leitfähige Teilchen beinhalten, die in ein Bindemittel oder einen duroplastischen Haftstofffilm (z. B. ein duroplastisches Epoxidharz vom Biphenyltyp oder ein Material auf Acrylbasis) eingebettet sind. Bei einigen Ausführungsformen können die leitfähigen Teilchen ein Polymer und/oder ein oder mehrere Metalle (z. B. Nickel oder Gold) beinhalten. Zum Beispiel können die leitfähigen Teilchen nickelbeschichtetes Gold oder silberbeschichtetes Kupfer beinhalten, das wiederum mit einem Polymer beschichtet ist. Bei einem anderen Beispiel können die leitfähigen Teilchen Nickel beinhalten. Wenn ein anisotropes leitfähiges Material unkomprimiert ist, gibt es möglicherweise keinen leitfähigen Pfad von einer Seite des Materials zur anderen. Wenn das anisotrope leitfähige Material jedoch auf geeignete Weise komprimiert wird (z. B. durch leitfähige Kontakte auf jeder Seite des anisotropen leitfähigen Materials), können die leitfähigen Materialien in der Nähe des Kompressionsgebiets so in Kontakt miteinander sein, dass in dem Kompressionsgebiet ein leitfähiger Pfad von einer Seite des Films zur anderen ausgebildet wird.
  • Die hierin offenbarten DTD-Zwischenverbindungen können eine beliebige geeignete Gestalt annehmen. Bei einigen Ausführungsformen können einige oder alle DTD-Zwischenverbindungen in einer mikroelektronischen Baugruppe oder einem IC-Gehäuse wie hierin beschrieben Metall-zu-Metall-Zwischenverbindungen (z. B. Kupfer-zu-Kupfer-Zwischenverbindungen oder plattierte Zwischenverbindungen) sein. Bei solchen Ausführungsformen können die leitfähigen Kontakte auf jeder Seite der DTD-Zwischenverbindung ohne die Verwendung von dazwischenliegendem Lot oder einem anisotropen leitfähigen Material aneinander gebondet werden (z. B. unter erhöhtem Druck und/oder erhöhter Temperatur). Bei einigen Metall-zu-Metall-Zwischenverbindungen kann ein dielektrisches Material (z. B. Siliciumoxid, Siliciumnitrid, Siliciumcarbid) zwischen den aneinander gebondeten Metallen (z. B. zwischen Kupferpads oderpfosten, die die assoziierten leitfähigen Kontakte bereitstellen) vorhanden sein. Bei einigen Ausführungsformen kann eine Seite einer DTD-Zwischenverbindung eine Metallsäule (z. B. eine Kupfersäule) beinhalten und die andere Seite der DTD-Zwischenverbindung kann einen Metallkontakt (z. B. einen Kupferkontakt) beinhalten, der in ein Dielektrikum vertieft ist. Bei einigen Ausführungsformen kann eine Metall-zu-Metall-Zwischenverbindung (z. B. eine Kupfer-zu-Kupfer-Zwischenverbindung) ein Edelmetall (z. B. Gold) oder ein Metall, dessen Oxide leitfähig sind (z. B. Silber), beinhalten. Bei einigen Ausführungsformen kann eine Metall-zu-Metall-Zwischenverbindung Metallnanostrukturen (z. B. Nanostäbe) beinhalten, die einen verringerten Schmelzpunkt aufweisen können. Metall-zu-Metall-Zwischenverbindungen können dazu in der Lage sein, zuverlässig einen höheren Strom als andere Arten von Zwischenverbindungen zu leiten; zum Beispiel können einige Lotzwischenverbindungen spröde intermetallische Verbindungen bilden, wenn Strom fließt, und der maximale Strom, der durch solche Zwischenverbindungen bereitgestellt wird, kann begrenzt werden, um mechanisches Versagen abzumildern.
  • Bei einigen Ausführungsformen können die Dies auf jeder Seite eines Satzes von DTD-Zwischenverbindungen ungekapselte Dies sein.
  • Bei einigen Ausführungsformen können die DTD-Zwischenverbindungen Lot beinhalten. Zum Beispiel können die DTD-Zwischenverbindungen leitfähige Kontakthügel oder Säulen (z. B. Kupferkontakthügel oder-säulen) beinhalten, die durch Lot an den jeweiligen leitfähigen Kontakten angebracht sind. Bei einigen Ausführungsformen kann eine dünne Lotkappe in einer Metall-zu-Metall-Zwischenverbindung verwendet werden, um eine Planarität bereitzustellen, und dieses Lot kann während der Verarbeitung zu einer intermetallischen Verbindung werden. Bei einigen Ausführungsformen kann das Lot, das in einigen oder allen der DTD-Zwischenverbindungen verwendet wird, einen höheren Schmelzpunkt als das Lot aufweisen, das in einigen oder allen der DTPS-Zwischenverbindungen enthalten ist. Wenn zum Beispiel die DTD-Zwischenverbindungen in einem IC-Gehäuse ausgebildet werden, bevor die DTPS-Zwischenverbindungen ausgebildet werden, können lotbasierte DTD-Zwischenverbindungen ein Lot höherer Temperatur (z. B. mit einem Schmelzpunkt oberhalb von 200 Grad Celsius) verwenden, während die DTPS-Zwischenverbindungen ein Lot niedrigerer Temperatur verwenden können (z. B. mit einem Schmelzpunkt unterhalb von 200 Grad Celsius). Bei einigen Ausführungsformen kann ein Lot höherer Temperatur Zinn; Zinn und Gold; oder Zinn, Silber und Kupfer (z. B. 96,5 % Zinn, 3 % Silber und 0,5 % Kupfer) beinhalten. Bei einigen Ausführungsformen kann ein Lot niedrigerer Temperatur Zinn und Bismut (z. B. eutektisches Zinnbismut), Zinn, Silber, Bismut, Indium, Indium und Zinn oder Gallium beinhalten.
  • Bei einigen Ausführungsformen kann ein Satz von DTD-Zwischenverbindungen ein anisotropes leitfähiges Material, wie etwa ein beliebiges der oben für die DTPS-Zwischenverbindungen erörterten Materialien, beinhalten. Bei einigen Ausführungsformen können die DTD-Zwischenverbindungen als Datenübertragungsspuren verwendet werden, während die DTPS-Zwischenverbindungen unter anderem für Leistungs- und Masseleitungen verwendet werden können.
  • Bei mikroelektronischen Baugruppen oder IC-Gehäusen wie hierin beschrieben können einige oder alle der DTD-Zwischenverbindungen ein feineres Rastermaß als die DTPS-Zwischenverbindungen aufweisen. Bei einigen Ausführungsformen können die hierin offenbarten DTPS-Zwischenverbindungen ein Rastermaß zwischen etwa 80 Mikrometer und 300 Mikrometer aufweisen, während die hierin offenbarten DTD-Zwischenverbindungen in Abhängigkeit von der Art der DTD-Zwischenverbindungen ein Rastermaß zwischen etwa 0,5 Mikrometer und 100 Mikrometer aufweisen können. Ein Beispiel für eine Zwischenverbindungsdichte auf Siliciumebene wird durch die Dichte einiger DTD-Zwischenverbindungen bereitgestellt. Bei einigen Ausführungsformen können die DTD-Zwischenverbindungen ein zu feines Rastermaß aufweisen, um direkt mit dem Gehäusesubstrat gekoppelt zu werden (z. B. zu fein, um als DTPS-Zwischenverbindungen zu dienen). Die DTD-Zwischenverbindungen können ein kleineres Rastermaß als die DTPS-Zwischenverbindungen aufgrund der größeren Ähnlichkeit von Materialien in den unterschiedlichen Dies auf jeder Seite eines Satzes von DTD-Zwischenverbindungen als zwischen einem Die und einem Gehäusesubstrat auf jeder Seite eines Satzes von DTPS-Zwischenverbindungen aufweisen. Insbesondere können die Unterschiede bei der Materialzusammensetzung von Dies und Gehäusesubstraten zu einer differenziellen Ausdehnung und Kontraktion der Dies und Gehäusesubstrate aufgrund von Wärme führen, die während des Betriebs erzeugt wird (sowie der Wärme, die während verschiedener Herstellungsoperationen aufgebracht wird). Um Schäden abzumildern, die durch diese differenzielle Ausdehnung und Kontraktion verursacht werden (z. B. Rissbildung, Lotüberbrückungen usw.), werden die DTPS-Zwischenverbindungen in einer bzw. einem beliebigen der hierin beschriebenen mikroelektronischen Baugruppen oder IC-Gehäuse möglicherweise größer und weiter voneinander entfernt ausgebildet als DTD-Zwischenverbindungen, die aufgrund der größeren Materialähnlichkeit des Paares von Dies auf jeder Seite der DTD-Zwischenverbindungen einer geringeren thermischen Beanspruchung ausgesetzt sind.
  • Es versteht sich, dass eine oder mehrere Ebenen einer Unterfüllung (z. B. ein organisches Polymermaterial, wie etwa Benzotriazol, Imidazol, Polyimid oder Epoxid) in einem hierin beschriebenen IC-Gehäuse bereitgestellt werden können und möglicherweise nicht beschriftet sind, um die Zeichnungen nicht unübersichtlich zu machen. Bei verschiedenen Ausführungsformen können die Ebenen einer Unterfüllung die gleichen oder unterschiedliche Isolationsmaterialien umfassen. Bei einigen Ausführungsformen können die Ebenen einer Unterfüllung duroplastische Epoxide mit Siliciumoxidteilchen umfassen; bei einigen Ausführungsformen können die Ebenen einer Unterfüllung ein beliebiges geeignetes Material umfassen, das Unterfüllungsfunktionen durchführen kann, wie etwa ein Stützen der Dies und ein Reduzieren einer thermischen Beanspruchung auf Zwischenverbindungen. Bei einigen Ausführungsformen kann die Wahl des Unterfüllungsmaterials auf Designüberlegungen basieren, wie etwa Formfaktor, Größe, Beanspruchung, Betriebsbedingungen usw.; bei anderen Ausführungsformen kann die Wahl des Unterfüllungsmaterials auf Materialeigenschaften und Verarbeitungsbedingungen, wie etwa Aushärtungstemperatur, Glasübergangstemperatur, Viskosität und chemischer Beständigkeit, sowie anderen Faktoren basieren; bei einigen Ausführungsformen kann die Wahl des Unterfüllungsmaterials auf sowohl Design- als auch Verarbeitungsüberlegungen basieren.
  • Bei einigen Ausführungsformen können eine oder mehrere Ebenen eines Lötstopplacks (z. B. Epoxidflüssigkeit, fotostrukturierbare Flüssigpolymere, fotostrukturierbare Trockenfilmpolymere, Akrylharze, Lösungsmittel) in einem hierin beschriebenen IC-Gehäuse bereitgestellt werden und sind möglicherweise nicht beschriftet oder gezeigt, um die Zeichnungen nicht unübersichtlich zu machen. Ein Lötstopplack kann ein Flüssig- oder Trockenfilmmaterial sein, das fotostrukturierbare Polymere beinhaltet. Bei einigen Ausführungsformen kann der Lötstopplack nicht fotostrukturierbar sein.
  • Die Begriffe „im Wesentlichen“, „nahe“, „ungefähr“, „in der Nähe“ und „etwa“ beziehen sich im Allgemeinen auf innerhalb +/- 20 % eines Zielwerts (z. B. innerhalb +/- 5 % oder 10 % eines Zielwerts), basierend auf dem Kontext eines bestimmten Wertes wie hierin beschrieben oder wie im Stand der Technik bekannt.
  • Begriffe, die eine Orientierung verschiedener Elemente angeben, z. B. „komplanar“, „senkrecht“, „orthogonal“, „parallel“ oder ein beliebiger anderer Winkel zwischen den Elementen, beziehen sich im Allgemeinen auf innerhalb +/- 5 % bis 20 % eines Zielwertes, basierend auf dem Kontext eines speziellen Wertes wie hierin beschrieben oder wie im Stand der Technik bekannt.
  • Der Begriff „verbunden“ bedeutet eine direkte Verbindung (die eine oder mehrere einer mechanischen, elektrischen und/oder thermischen Verbindung sein kann) zwischen den Dingen, die verbunden sind, ohne irgendwelche dazwischenliegenden Vorrichtungen, während der Begriff „gekoppelt“ entweder eine direkte Verbindung zwischen den Dingen, die verbunden sind, oder eine indirekte Verbindung über eine oder mehrere passive oder aktive dazwischenliegende Vorrichtungen bedeutet.
  • Die Beschreibung verwendet die Ausdrücke „bei einer Ausführungsform“ oder „bei Ausführungsformen“, die jeweils auf eine oder mehrere derselben oder unterschiedlicher Ausführungsformen verweisen können.
  • Darüber hinaus sind die Begriffe „umfassend“, „beinhaltend“, „aufweisend“ und dergleichen, wie unter Bezugnahme auf Ausführungsformen der vorliegenden Offenbarung verwendet, synonym.
  • Die Offenbarung kann perspektiven basierte Beschreibungen wie etwa „oberhalb“, „unterhalb“, „Oberseite“, „Unterseite“ und „Seite“ verwenden; solche Beschreibungen werden verwendet, um die Erörterung zu erleichtern, und sollen die Anwendung offenbarter Ausführungsformen nicht einschränken.
  • Die Begriffe „über“, „unter“, „zwischen“ und „auf“ verweisen, wie hierin verwendet, auf eine relative Position einer Materialschicht oder Komponente in Bezug auf andere Schichten oder Komponenten. Zum Beispiel kann sich eine Schicht, die über oder unter einer anderen Schicht angeordnet ist, direkt in Kontakt mit der anderen Schicht befinden oder kann eine oder mehrere dazwischenliegende Schichten aufweisen. Zudem kann sich eine Schicht, die zwischen zwei Schichten angeordnet ist, direkt in Kontakt mit einer oder beiden der zwei Schichten befinden oder kann eine oder mehrere dazwischenliegende Schichten aufweisen. Im Gegensatz dazu verweist eine erste Schicht, die als „auf“ einerzweiten Schicht beschrieben ist, auf eine Schicht, die sich in direktem Kontakt mit dieser zweiten Schicht befindet. Gleichermaßen kann sich, sofern nichts anderes angegeben ist, ein Merkmal, das zwischen zwei Merkmalen angeordnet ist, in direktem Kontakt mit den angrenzenden Merkmalen befinden oder kann eine oder mehrere dazwischenliegende Schichten aufweisen.
  • Der Begriff „anordnen“ verweist, wie hierin verwendet, auf eine Position, Lage, Platzierung und/oder Anordnung anstatt auf irgendein spezielles Ausbildungsverfahren.
  • Der Begriff „zwischen“ schließt, wenn er unter Bezugnahme auf Messbereiche verwendet wird, die Enden der Messbereiche ein.
  • Für die Zwecke der vorliegenden Offenbarung bedeutet der Ausdruck „A und/oder B“ (A), (B) oder (A und B). Für die Zwecke der vorliegenden Offenbarung bedeutet der Ausdruck „A, B und/oder C“ (A), (B), (C), (A und B), (A und C), (B und C) oder (A, B und C). Wenn hierin verwendet, bedeutet die Schreibweise „A/B/C“ (A), (B) und/oder (C).
  • Wenngleich hierin möglicherweise auf gewisse Elemente im Singular Bezug genommen wird, können solche Elemente mehrere Unterelemente beinhalten. Zum Beispiel kann „ein elektrisch leitfähiges Material“ ein oder mehrere elektrisch leitfähige Materialien beinhalten. Bei einem anderen Beispiel kann „ein dielektrisches Material“ ein oder mehrere dielektrische Materialien beinhalten.
  • Sofern nicht anders spezifiziert, gibt die Verwendung der Ordnungsadjektive „erste/r/s“, „zweite/r/s“ und „dritte/r/s“ usw. zum Beschreiben eines gemeinsamen Objekts lediglich an, dass sich auf unterschiedliche Instanzen von gleichen Objekten bezogen wird, und es ist nicht beabsichtigt, zu implizieren, dass die so beschriebenen Objekte in einer gegebenen Sequenz sein müssen, weder zeitlich, räumlich, in der Rangfolge noch auf eine beliebige andere Art und Weise.
  • In der folgenden ausführlichen Beschreibung wird Bezug auf die begleitenden Zeichnungen genommen, die einen Teil hiervon bilden und in denen zur Veranschaulichung Ausführungsformen gezeigt sind, die praktiziert werden können. Es versteht sich, dass andere Ausführungsformen genutzt werden können und strukturelle oder logische Änderungen vorgenommen werden können, ohne vom Schutzumfang der vorliegenden Offenbarung abzuweichen. Daher ist die folgende ausführliche Beschreibung nicht in einem beschränkenden Sinn zu verstehen.
  • Die begleitenden Zeichnungen sind nicht unbedingt maßstabsgetreu.
  • In den Zeichnungen verweisen gleiche Bezugszeichen auf die gleichen oder analoge gezeigte Elemente/Materialien, so dass, sofern nichts anderes angegeben ist, Erklärungen eines Elements/Materials mit einem bestimmten Bezugszeichen, die im Kontext einer der Zeichnungen bereitgestellt werden, auf andere Zeichnungen zutreffen, in denen Elemente/Materialien mit dem gleichen Bezugszeichen veranschaulicht sein können. Ferner können die Singular- und Pluralformen der Bezeichnungen mit Bezugszeichen verwendet werden, um eine einzelne bzw. mehrere des gleichen oder eines analogen Typs, der gleichen oder einer analogen Spezies oder der gleichen oder einer analogen Elementklasse zu bezeichnen.
  • Des Weiteren können in den Zeichnungen einige schematische Veranschaulichungen beispielhafter Strukturen verschiedener hierin beschriebener Vorrichtungen und Baugruppen mit präzisen rechten Winkeln und geraden Linien gezeigt sein, jedoch versteht es sich, dass solche schematischen Veranschaulichungen möglicherweise nicht reale Prozessbeschränkungen widerspiegeln, die bewirken können, dass die Merkmale nicht so „ideal“ aussehen, wenn beliebige der hierin beschriebenen Strukturen unter Verwendung z. B. von Bildern geeigneter Charakterisierungswerkzeuge, wie etwa Rasterelektronenmikroskopie(SEM)-Bildern (SEM: Scanning Electron Microscopy), Transmissionselektronenmikroskop(TEM)-Bildern (TEM: Transmission Electron Microscope), oder einem kontaktlosem Profilometer, untersucht werden. Bei solchen Bildern realer Strukturen könnten auch mögliche Verarbeitungs- und/oder Oberflächendefekte sichtbar werden, z. B. Oberflächenrauigkeit, Krümmung oder Profilabweichung, Vertiefungen oder Kratzer, nicht perfekt gerade Kanten von Materialien, sich verjüngende Durchkontaktierungen oder andere Öffnungen, unbeabsichtigte Abrundung von Ecken oder Schwankungen bei Dicken unterschiedlicher Materialschichten, gelegentliche Schrauben-, Kanten- oder Kombinationsversetzungen innerhalb eines oder mehrerer kristalliner Gebiete und/oder gelegentliche Versetzungsdefekte von einzelnen Atome oder Clustern von Atomen. Es kann andere Defekte geben, die hier nicht aufgeführt sind, die jedoch auf dem Gebiet der Fertigung und/oder des Packaging von Vorrichtungen häufig auftreten.
  • In den Zeichnungen sind eine spezielle Anzahl und Anordnung von Strukturen und Komponenten zu veranschaulichenden Zwecken dargestellt, und eine beliebige gewünschte Anzahl oder Anordnung solcher Strukturen und Komponenten kann bei verschiedenen Ausführungsformen vorhanden sein.
  • Sofern nichts anderes angegeben ist, können die in den Figuren gezeigten Strukturen ferner eine beliebige geeignete Gestalt oder Form gemäß Materialeigenschaften, Fertigungsprozessen und Betriebsbedingungen annehmen.
  • Der Einfachheit halber kann, falls eine Sammlung von Zeichnungen, die mit unterschiedlichen Buchstaben bezeichnet sind, vorhanden ist (z. B. 1 0A-1 0C), auf eine solche Sammlung hierin ohne die Buchstaben (z. B. als „10“) Bezug genommen werden. Gleichermaßen kann, falls eine Sammlung von Bezugszeichen, die mit unterschiedlichen Buchstaben bezeichnet sind, vorhanden ist (z. B. 112a-112e), auf eine solche Sammlung hierin ohne die Buchstaben (z. B. als „112“) Bezug genommen werden.
  • Verschiedene Operationen können wiederum als mehrere diskrete Aktionen oder Vorgänge auf eine Weise beschrieben werden, die für das Verständnis des beanspruchten Gegenstands am hilfreichsten ist. Die Reihenfolge der Beschreibung sollte jedoch nicht so ausgelegt werden, dass impliziert wird, dass diese Operationen notwendigerweise abhängig von der Reihenfolge sind. Insbesondere müssen diese Operationen nicht in der Reihenfolge der Darstellung durchgeführt werden. Beschriebene Operationen können in einer von der beschriebenen Ausführungsform unterschiedlichen Reihenfolge durchgeführt werden. Verschiedene zusätzliche Operationen können durchgeführt werden und/oder beschriebene Operationen können bei zusätzlichen Ausführungsformen weggelassen werden.
  • Ausführungsbeispiele
  • 1A ist eine vereinfachte Querschnittsansicht einer mikroelektronischen Baugruppe 100 gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Die mikroelektronische Baugruppe 100 umfasst ein Gehäusesubstrat 102, das mit einem IC-Die 104 gekoppelt ist, der eine E/A-Schaltung 106 umfasst. Die E/A-Schaltung 106 umfasst eine oder mehrere Schaltungen zum Implementieren von PHY-Schnittstellenfunktionen des Open Systems Interconnection(OSI)-Modells zur elektronischen Übertragung von elektrischen Signalen in den und von dem IC-Die 104. Beispiele für die E/A-Schaltung 106 sind Ethernet-PHY, umfassend analoge Schaltungen; Peripheral Component Interconnect Express(PCIe)-PHY, umfassend analoge Schaltungen; Wireless Local Area Network(LAN)-PHY, umfassend Hochfrequenz(HF)-, analoge und digitale Schaltungen; USB-PHY, umfassend digitale Schaltungen; 3G-/5G-/Long Term Evolution(LTE)-PHY, umfassend HF-, analoge und digitale Schaltungen; Bluetooth-PHY, umfassend HF-, analoge und digitale Schaltungen; eine I2C-PHY-Schnittstelle, umfassend analoge und digitale Schaltungen; usw. Jede geeignete Schaltung, die die PHY-Funktionen des OSI-Modells bereitstellt, kann in der E/A-Schaltung 106 innerhalb des breiten Schutzumfangs der Ausführungsformen enthalten sein. Obwohl die spezifischen Komponenten der E/A-Schaltung 106 von den speziellen PHY-Funktionen abhängen, für die sie konfiguriert ist, kann die E/A-Schaltung 106 in einem allgemeinen Sinn passive Komponenten (z. B. Induktoren, Widerstände, Kondensatoren, Transformatoren), Schaltungen zum Schutz von elektrostatischer Entladung (ESD: Electrostatic Discharge) (z. B. ESD-Dioden), PLL-Taktgeber, Timer, Retimer mit Flip-Flop-Schaltungen, Repeater und mindestens eine Mikrosteuerung erfordern. Obwohl in der Figur zur Vereinfachung der Veranschaulichung nur eine E/A-Schaltung 106 gezeigt ist, kann der IC-Die 104 eine beliebige Anzahl solcher E/A-Schaltungen 106 innerhalb des breiten Schutzumfangs der Ausführungsformen umfassen.
  • Ein Intellectual Property(IP)-Kern 108 kann in dem IC-Die 104 bereitgestellt sein. Der IP-Kern 108 kann mit der E/A-Schaltung 106 leitfähig gekoppelt sein. Wie hierin verwendet, bezieht sich der Begriff „IP-Kern“ auf eine Schaltung, die eine wiederverwendbare Einheit eines Logik-, Zellen- oder IC-Layout-Designs mit einer speziellen Funktionalität und definierten Schnittstelle umfasst und als ein Baustein in einem IC-Die-Design dient. IP-Kerne können zum Beispiel einen Satz aus Speicherregistern, einer arithmetischen Logikeinheit (ALU: Arithmetic Logic Unit), Leistungswandlern, Peripheriegeräten, programmierbaren Mikroprozessoren, Mikrosteuerungen, Digitalsignalprozessoren, Analog-Digital-Mischsignalverarbeitungsblöcken, konfigurierbaren Rechenarchitekturen usw. umfassen. Die E/A-Schaltung 106 kann selbst ein Beispiel für einen IP-Kern umfassen, obwohl die E/A-Schaltung 106 hierin von dem IP-Kern 108 lediglich unterschieden wird, um die Merkmale der hierin beschriebenen Ausführungsformen zu veranschaulichen. Obwohl in der Figur ein IP-Kern 108 gezeigt ist, kann der IC-Die 104 zahlreiche derartige IP-Kerne innerhalb des breiten Schutzumfangs der Ausführungsformen umfassen. Ein oder mehrere IP-Kerne 108 können beliebige geeignete Schaltungen umfassen, einschließlich der gleichen Schaltungen oder unterschiedlicher Schaltungen. Ein oder mehrere IP-Kerne 108 können mit der E/A-Schaltung 106 gekoppelt sein.
  • Eine Mehrzahl von IC-Dies 110, 112, 114 usw. kann mit Zwischenverbindungen mit und über dem IC-Die 104 gekoppelt sein, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen. Der IC-Die 110 kann eine Mikrosteuerungsschaltung zum Steuern der E/A-Schaltung 106 umfassen. Bei verschiedenen Ausführungsformen kann die Mikrosteuerungsschaltung eine beliebige logische Steuerschaltung umfassen, die zum Steuern einer E/A-Schaltung verwendet wird. Bei verschiedenen Ausführungsformen kann die Mikrosteuerungsschaltung eine digitale Schaltungsanordnung umfassen. Die spezifischen Komponenten und die Schaltungskonfiguration der Mikrosteuerungsschaltung können von den Funktionalitäten der E/A-Schaltung 106 abhängen. Alle derartigen Komponenten und Schaltungskonfigurationen sind innerhalb des breiten Schutzumfangs der Ausführungsformen hierin enthalten. Bei einigen Ausführungsformen können die IC-Dies 112 passive Komponenten umfassen, wie etwa Induktoren, Kondensatoren und/oder Widerstände, die in Metallisierungsstapel innerhalb solcher IC-Dies 112 integriert sind. Die IC-Dies 114 können eine oder mehrere ESD-Schutzschaltungen (z. B.eine oder mehrere ESD-Dioden), PLL-Schaltungen, Taktschaltungen, Timer und andere derartige Schaltungen umfassen, die mit der E/A-Schaltung 106 interagieren und aktive Elemente, wie etwa Dioden und Transistoren, umfassen. Obwohl in der Figur einige wenige solche IC-Dies 112 und 114 gezeigt sind, kann eine beliebige kleinere oder größere Anzahl an IC-Dies 112 und 114 in der mikroelektronischen Baugruppe 100 innerhalb des breiten Schutzumfangs der Ausführungsformen enthalten sein. Die Anzahl, Positionen, Anordnung, Größen usw. solcher IC-Dies 110, 112, 114 auf dem IC-Die 104 können durch verschiedene Betriebs-, Herstellungs-, Kosten- und andere Überlegungen bestimmt sein und (eine) beliebige solche Anzahl, Positionen, Anordnung, Größen usw. können in dem breiten Schutzumfang der Ausführungsformen der mikroelektronischen Baugruppe 100 enthalten sein.
  • Das Ausseparieren von Teilen einer typischen E/A-Schaltung eines monolithischen IC in einzelne IC-Dies und das Stapeln dieser übereinander mit Zwischenverbindungen mit einem Rastermaß von weniger als 10 Mikrometer, wie bei Ausführungsbeispielen der mikroelektronischen Baugruppe 100, kann ein Reduzieren einer Gesamtgrundfläche der mikroelektronischen Baugruppe 100 ohne proportionale Funktionsverschlechterung ermöglichen. Bei verschiedenen Ausführungsformen umfassen die gestapelten IC-Dies 110, 112, 114 eine Funktionalität, die dazu konfiguriert ist, mit der E/A-Schaltung 106 und anderen IP-Kernen, einschließlich HF-, analogen und digitalen IP-Kernen, betrieben zu werden. Bei verschiedenen Ausführungsformen können ein oder mehrere der IC-Dies 110, 112 und 114 ESD-Schutzschaltungen (z. B. eine oder mehrere ESD-Dioden), Widerstände, Induktoren (z. B. T-Spulen, Spiralinduktoren, abgestimmte Gütefaktoren für hochpräzise Anwendungen usw.), Mikrosteuerungen für eine E/A-Trainingsfunktionalität, E/A-Logik-PHY-Steuerungen, PLLs für E/A- oder Steuerungstaktung, Leistungsversorgungsregler (z. B. vollintegrierter Spannungsregler (FIVR) oder Ähnliches), Leistungsversorgungsfilterkondensatoren (Metall-Isolator-Metall (MIM) mit hoher Kapazität oder Ähnliches mit Mittel-ZNiederfrequenzantwort, Hochfrequenzantwort usw.), globale oder lokalisierte Taktverteilungsnetzwerke usw. beinhalten.
  • Eine solche gestapelte Konfiguration kann auf vielfache Weise vorteilhaft sein, einschließlich durch Reduzieren der E/A-Schaltungsgrundfläche, Reduzieren einer Verdrahtungsüberbelegung auf dem Die und Verbessern der analogen Leistungsfähigkeit aufgrund optimierter Prozessknotenauswahl. Kleinere Kontakthügel als in herkömmlichen Flip-Chip-Zwischenverbindungen, die durch hybrides Bonden ermöglicht werden, ermöglichen eine Implementierung gestapelter IC-Dies ohne eine signifikante Leistungsfähigkeitsverschlechterung, falls das Rastermaß der hybriden Bonds mindestens eine Größenordnung kleiner als die Rastermaße herkömmlicher Flip-Chip-Kontakthügel ist. Ferner kann der IC-Die 110 unter Verwendung von Halbleiterprozessen gefertigt werden, die darauf zugeschnitten sind, die Leistungsfähigkeit der Mikrosteuerungsschaltung zu verbessern, wohingegen der IC-Die 104 unter Verwendung eines anderen Halbleiterprozesses gefertigt werden kann, der auf eine Verbesserung der Leistungsfähigkeit der darin enthaltenen Schaltungen zugeschnitten ist. Infolgedessen können bei einigen Ausführungsformen Transistoren in der Mikrosteuerungsschaltung des IC-Die 110 kleiner als Transistoren in der E/A-Schaltung 106 des IC-Die 104 sein. Gleichermaßen können die IC-Dies 112 und 114 unter Verwendung von Prozessen gefertigt werden, die für Komponenten darin geeignet sind, die möglicherweise nicht für Komponenten in dem IC-Die 104 geeignet sind. Zum Beispiel kann der IC-Die 112 unter Verwendung eines Prozesses gefertigt werden, der für einen Induktor geeignet ist, wohingegen der IC-Die 104 unter Verwendung eines Prozesses gefertigt werden kann, der für digitale Schaltungen geeignet ist. Infolgedessen können die Induktoren (und/oder andere passive Komponenten) in dem IC-Die 112 eine bessere Leistungsfähigkeit (z. B. geringes Rauschen, geringe parasitäre Effekte usw.) als jene in dem IC-Die 104 aufweisen.
  • Bei einem Ausführungsbeispiel kann sich die E/A-Schaltung 106 nahe einer Peripherie des IC-Die 104 befinden (z. B. ist mindestens eine Kante der E/A-Schaltung 106 zwischen 10 Mikrometer und 100 Mikrometer von der Kante des IC-Die 104 entfernt). Mit „Kante“ eines IC-Die ist die Grenze einer Schaltungsanordnung in dem IC-Die gemeint. Bei einigen IC-Dies kann die physische Kante des IC-Die Anreißlinien (auch Sägestraße genannt) aufweisen, die etwa 100 Mikrometer breit sein können, wobei die E/A-Schaltung 106 in diesem Fall etwa 10 Mikrometer bis 100 Mikrometer von einer derartigen Anreißlinie in dem IC-Die 104 entfernt sein kann. Der IC-Die 110 kann sich auch nahe der Peripherie des IC-Die 104 und angrenzend an die und über der E/A-Schaltung 106 befinden. Bei einigen Ausführungsformen kann sich die E/A-Schaltung 106 innerhalb einer Grenze einer Grundfläche (z. B. Parallelprojektion, d. h. Abbilden eines dreidimensionalen Objekts auf eine zweidimensionale Ebene, Schatten usw.) des IC-Die 110 befinden. Mit anderen Worten kann eine Grundfläche des IC-Die 110 in eine Grenze der E/A-Schaltung 106 passen und umgekehrt. Leitfähige Bahnen zwischen der E/A-Schaltung 106 und der Mehrzahl von IC-Dies 110, 112 und 114 können eine elektrische Kopplung zwischen diesen erleichtern. Insbesondere kann sich ein Teil der leitfähige Bahnen in dem IC-Die 104 befinden, wohingegen sich ein anderer Teil der leitfähige Bahnen in dem IC-Die 110 befinden kann. Bei einigen Ausführungsformen können die leitfähige Bahnen, oder zumindest ein Teil der leitfähigen Bahnen, in einer Verdrahtungsschicht zwischen dem IC-Die 104 und der Mehrzahl von IC-Dies 110, 112 und 114 enthalten sein.
  • Bei manchen Ausführungsformen kann das Gehäusesubstrat 102 mehrere Schichten von leitfähigen Bahnen umfassen, die in einer oder mehreren Schichten aus organischem Dielektrikum eingebettet sind. Zum Beispiel kann das Gehäusesubstrat 102 ein Laminatsubstrat mit mehreren Schichten von Metallebenen oder -bahnen, die durch plattierte Durchkontaktierungen miteinander verbunden sind, mit E/A-Verdrahtungsebenen auf den oberen und unteren Schichten umfassen, während die inneren Schichten als eine Masse- und Leistungsebene verwendet werden. Bei anderen Ausführungsformen kann das Gehäusesubstrat 102 einen organischen Interposer umfassen; bei noch anderen Ausführungsformen kann das Gehäusesubstrat 102 einen anorganischen Interposer (z. B. bestehend aus Glas, Keramik oder Halbleitermaterialien) umfassen. Bei noch anderen Ausführungsformen kann das Gehäusesubstrat 102 ein Verbundmaterial aus organischen und anorganischen Materialien umfassen, zum Beispiel mit einem eingebetteten Halbleiter-Die in einem organischen Substrat.
  • Es sei angemerkt, dass in 1, auch wenn einige Komponenten der Baugruppe als planare Rechtecke oder aus rechteckigen Feststoffen ausgebildet veranschaulicht sind, dies lediglich zur Vereinfachung der Veranschaulichung dient und Ausführungsformen dieser Baugruppen gekrümmt, abgerundet oder anderweitig unregelmäßig geformt sein können, wie es durch die zum Fertigen verschiedener Komponenten verwendeten Herstellungsprozesse vorgegeben und manchmal aufgrund von diesen unumgänglich ist. Es sei angemerkt, dass 1 und nachfolgende Figuren relative Anordnungen der Komponenten innerhalb ihrer Baugruppen zeigen sollen, und dass solche Baugruppen im Allgemeinen andere Komponenten beinhalten können, die nicht veranschaulicht sind (z. B. verschiedene Schnittstellenschichten oder verschiedene andere Komponenten in Bezug auf optische Funktionalität, elektrische Konnektivität oder thermische Abschwächung). Zum Beispiel können bei einigen weiteren Ausführungsformen die Baugruppen, die in 1 und nachfolgenden Figuren gezeigt sind, mehr Dies zusammen mit anderen elektrischen Komponenten beinhalten.
  • 2 ist eine vereinfachte Querschnittsansicht eines Ausführungsbeispiels einer mikroelektronischen Baugruppe 100 über die Achse BB' von 1 gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. IC-Dies 110, 112 und 114 (nicht gezeigt) können über der E/A-Schaltung 106 des IC-Die 104 gestapelt sein. Bei vielen Ausführungsformen können die IC-Dies 110, 112 und 114 (nicht gezeigt) so angeordnet sein, dass sie in eine Grenze der E/A-Schaltung 106 passen. Bei anderen Ausführungsformen kann sich der IC-Die 110 vollständig mit der E/A-Schaltung 106 überlagern, so dass die IC-Dies 112 und 114 außerhalb der Grenze der E/A-Schaltung 106 angeordnet sein können.
  • Der IC-Die 110 kann ein Substrat 202 und einen Metallisierungsstapel 204 parallel und angrenzend an das Substrat 202 umfassen. Bei einigen Ausführungsformen können Teile eines (nicht gezeigten) aktiven Gebiets, das isolierte Transistoren, Dioden und andere aktive Elemente der Mikrosteuerungsschaltung umfasst, in dem Substrat 202 angeordnet sein und bei einigen Ausführungsformen können andere Teile des aktiven Gebiets in dem Metallisierungsstapel 204 angeordnet sein. Das Substrat 202 kann in den obigen Absätzen in Bezug auf Substrate (z. B. Basismaterial) von IC-Dies erörterte Materialien umfassen. Der Metallisierungsstapel 204 kann Zwischenschichtdielektrikum (ILD: Interlayer Dielectric) und verschiedene Schichten von leitfähigem Material beinhalten, die leitfähige Bahnen umfassen, die durch leitfähige Durchkontaktierungen durch das ILD elektrisch gekoppelt sind. Andere Materialien oder/und Schichten, wie etwa Keimschichten, Haftschichten, intermetallische Verbindungen, die in der Figur nicht spezifisch gezeigt sind, um die Zeichnungen nicht unübersichtlich zu machen, können ebenfalls bereitgestellt sein, wie Fachleuten auf dem Gebiet bekannt ist. Elektrische Signale, wie etwa Leistungs- und/oder E/A-Signale, können durch eine oder mehrere leitfähige Zwischenverbindungsschichten, die sich in dem Metallisierungsstapel 204 befinden, zu und/oder von Logikschaltungselementen (z. B. den Transistoren) des aktiven Gebiets geleitet werden. Die Schichten von leitfähigen Bahnen können ein oder mehrere Leistungsnetze (z. B. eine Anordnung von leitfähigen Leitungen, Ebenen und Durchkontaktierungen, die verwendet wird, um Leistung bereitzustellen), Signalnetze (z. B. eine Anordnung von leitfähigen Leitungen, Ebenen und Durchkontaktierungen, die verwendet wird, um Signale (z. B. Daten) bereitzustellen) und/oder Massenetze (z. B. eine Anordnung von leitfähigen Leitungen, Ebenen und Durchkontaktierungen, die verwendet wird, um eine Masseverbindung bereitzustellen) umfassen.
  • Bei einigen Ausführungsformen können die leitfähigen Bahnen in dem Metallisierungsstapel 204 Leitungen und/oder Durchkontaktierungen beinhalten, die mit einem elektrisch leitfähigen Material, wie etwa Aluminium oder Kupfer, gefüllt sind. Die Leitungen, die die leitfähigen Bahnen umfassen, können so angeordnet sein, dass sie elektrische Signale in einer Richtung einer Ebene leiten, die parallel zu einer Oberfläche des Substrats 202 ist. Zum Beispiel können die Leitungen elektrische Signale aus der Perspektive von 2 in einer Richtung in die Seite hinein und aus derselben heraus leiten. Durchkontaktierungen können so angeordnet sein, dass sie elektrische Signale in einer Richtung einer Ebene leiten, die senkrecht zu der Oberfläche des Substrats 202 ist. Bei einigen Ausführungsformen können die Durchkontaktierungen Leitungen unterschiedlicher leitfähiger Verdrahtungsschichten elektrisch miteinander koppeln.
  • Das ILD kann Schichten zwischen den Schichten von leitfähigen Bahnen umfassen, wobei die ILD-Schichten über und zwischen den leitfähigen Bahnen abgeschieden werden. Die ILD-Schichten können unter Verwendung dielektrischer Materialien ausgebildet werden, die für ihre Anwendbarkeit in IC-Strukturen bekannt sind, wie etwa Low-k-Dielektrikumsmaterialien. Beispiele für Dielektrikumsmaterialien beinhalten, ohne jedoch darauf beschränkt zu sein, Siliciumdioxid (SiO2), mit Kohlenstoff dotiertes Oxid (CDO: Carbon Doped Oxide), Siliciumnitrid, organische Polymere, wie etwa Perfluorcyclobutan oder Polytetrafluorethylen, Fluorsilicatglas (FSG: Fluorosilicate Glass) und Organosilicate, wie etwa Silsesquioxan, Siloxan oder Organosilicatglas. Die ILD-Schichten können Poren oder Luftspalte beinhalten, um ihre dielektrische Konstante weiter zu reduzieren. Das ILD kann ein homogenes Material oder ein heterogenes geschichtetes Verbundmaterial, das mehr als eine Materialschicht umfasst, oder eine heterogene Matrix, die eine Mischung aus Materialien in einer beliebigen im Stand der Technik bekannten geeigneten Anordnung umfasst, umfassen.
  • Der IC-Die 112, der passive Komponenten umfasst, kann auch die gleiche Struktur wie für den IC-Die 110 beschrieben umfassen, auch wenn dies nicht explizit so beschriftet ist, um die Zeichnung nicht unübersichtlich zu machen. Bei vielen Ausführungsformen kann das aktive Gebiet in dem IC-Die 112 fehlen und der Metallisierungsstapel 204 kann bei solchen Ausführungsformen direkt über dem Substrat 202 angeordnet sein. Die verschiedenen passiven Komponenten in dem IC-Die 112 können in dem Metallisierungsstapel 204 enthalten, zum Beispiel mit den leitfähigen Bahnen integriert, sein. Passive Komponenten können beispielhaft und nicht einschränkend Induktoren, Kondensatoren und Widerstände einer beliebigen Gestalt, Form, Struktur und Art, wie sie im Stand der Technik bekannt sind, beinhalten.
  • Der IC-Die 104 kann ein Substrat 206 und einen Metallisierungsstapel 208 parallel und angrenzend an das Substrat 206 umfassen. Bei einigen Ausführungsformen können Teile eines (nicht gezeigten) aktiven Gebiets, das isolierte Transistoren, Dioden und andere aktive elektronische Komponenten umfasst, in dem Substrat 206 angeordnet sein und andere Teile des aktiven Gebiets können bei einigen Ausführungsformen in dem Metallisierungsstapel 208 angeordnet sein, ähnlich zu dem Substrat, dem Metallisierungsstapel und dem aktiven Gebiet, die in Bezug auf den IC-Die 110 erörtert werden. Aktive Elemente der E/A-Schaltung 106 und des IP-Kerns 108 können in dem aktiven Gebiet angeordnet sein. Der IP-Kern 108 kann mit leitfähigen Bahnen in dem Metallisierungsstapel 208 mit der E/A-Schaltung 106 leitfähig gekoppelt sein. Außerdem kann der IC-Die 104 eine oder mehrere TSVs 214 und 216 durch das Substrat 206 umfassen. Die TSVs 214 können dazu konfiguriert sein, Datensignale zu führen, und die TSVs 216 können dazu konfiguriert sein, Leistung zu führen. Die TSVs 216 können bei einigen Ausführungsformen eine größere Querschnittsabmessung (z. B. gemessen von einem Punkt entlang einer Peripherie des Querschnitts zu einem gegenüberliegenden Punkt entlang der Peripherie; Abstand zwischen zwei entferntesten Punkten über den Querschnitt usw.) als die TSVs 214 aufweisen. Bei anderen Ausführungsformen können die TSVs 216 die gleichen oder ähnliche Querschnittsabmessungen wie die TSVs 214 aufweisen. Bei verschiedenen Ausführungsformen können die TSVs 214 und 216 durch das aktive Gebiet in Teile des Metallisierungsstapels 208 hinein angeordnet sein. Bei verschiedenen Ausführungsformen ist eine Querschnittsfläche der TSVs 214 und 216 proportional zu dem Rastermaß der Zwischenverbindungen 212. Bei einigen Ausführungsformen können die TSVs 214 eine Querschnittsabmessung von 1 Mikrometer aufweisen und das Rastermaß der Zwischenverbindungen 212 kann 2 Mikrometer betragen. Größere Rastermaße entsprechen größeren Querschnittsabmessungen von TSVs. Zum Beispiel kann die TSV 214 bei Ausführungsformen, bei denen das Rastermaß der DTD-Zwischenverbindungen 212 10 Mikrometer beträgt, eine Querschnittsabmessung von 5 Mikrometer aufweisen. Solche kleinen Abmessungen der TSVs 214 und 216 können einen proportional dünnen IC-Die erfordern. Zum Beispiel kann der IC-Die 104 mit der TSV 214 und 216 eine Dicke zwischen 10 Mikrometer und 50 Mikrometer aufweisen, wohingegen der IC-Die 110 ohne irgendwelche TSVs eine Dicke von mehr als 100 Mikrometer aufweisen kann, obwohl die IC 110 bei einigen Ausführungsformen eine Dicke von weniger als 100 Mikrometer aufweisen kann.
  • Der IC-Die 104 kann mit den IC-Dies 110 und 112 entlang der Schnittstelle 210 mit DTD-Zwischenverbindungen 212 gekoppelt sein, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen. Bei dem gezeigten Ausführungsbeispiel stellen die TSVs 214 und 216 einen leitfähigen Pfad zwischen den DTD-Zwischenverbindungen 212 und dem aktiven Gebiet des IC-Die 104 durch das Substrat 206 und den Metallisierungsstapel 208 bereit. Zum Beispiel kann ein leitfähiger Pfad leitfähige Bahnen in dem Metallisierungsstapel 204 des IC-Die 110, Zwischenverbindungen 212 zwischen dem IC-Die 104 und dem IC-Die 110, die TSV 214 und leitfähige Bahnen in dem Metallisierungsstapel 208 des IC-Die 104 umfassen. Bei einem anderen Beispiel kann ein anderer leitfähiger Pfad leitfähige Bahnen in dem Metallisierungsstapel 204 des IC-Die 112, Zwischenverbindungen 212 zwischen dem IC-Die 104 und dem IC-Die 112, die TSV 214 und leitfähige Bahnen in dem Metallisierungsstapel 208 des IC-Die 104 umfassen. Bei noch einem anderen Beispiel kann ein anderer leitfähiger Pfad leitfähige Bahnen in dem Metallisierungsstapel 204 des IC-Die 114, Zwischenverbindungen 212 zwischen dem IC-Die 104 und dem IC-Die 114, die TSV 214 und leitfähige Bahnen in dem Metallisierungsstapel 208 des IC-Die 104 umfassen. Bei noch einem anderen Beispiel können beliebige der oben beschriebenen leitfähigen Pfade leitfähige Bahnen beinhalten, die die IC-Dies 110, 112 und 114jeweils lateral durch den jeweiligen Metallisierungsstapel 204 koppeln.
  • Leitfähige Bahnen und Durchkontaktierungen in dem Metallisierungsstapel 208 können andere leitfähige Pfade von dem aktiven Gebiet des IC-Die 104 zu DTPS-Zwischenverbindungen 218 zwischen dem IC-Die 104 und dem Gehäusesubstrat 102 bereitstellen. Eine Unterfüllung 220 zwischen dem IC-Die 104 und dem Gehäusesubstrat 102 kann eine thermomechanische Zuverlässigkeit für die DTPS-Zwischenverbindungen 218 bereitstellen. Die Unterfüllung 220 kann Materialien umfassen, die in den obigen Absätzen in Bezug auf Unterfüllungen beschrieben sind. Bei vielen Ausführungsformen kann das Rastermaß der DTPS-Zwischenverbindungen 218 größer als das Rastermaß der DTD-Zwischenverbindungen 212 sein.
  • Es sei angemerkt, dass in 2 und in nachfolgenden Figuren die DTD-Zwischenverbindungen (z. B. 212) lediglich zur Vereinfachung der Veranschaulichung als an den jeweiligen Schnittstellen ausgerichtet gezeigt sind; tatsächlich können einige oder alle davon nicht daran ausgerichtet sein. Außerdem können andere Komponenten, wie etwa Bondpads, Kontaktflecken-Pads, Metallisierung usw., in der Baugruppe vorhanden sein, die in den Figuren nicht gezeigt sind, um diese nicht unübersichtlich zu machen.
  • 3 ist eine vereinfachte Querschnittsansicht eines anderen Ausführungsbeispiels der mikroelektronischen Baugruppe 100 über die Achse BB' von 1 gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Die in 3 gezeigte Ausführungsform ist ähnlich zu der in 2 gezeigten Ausführungsform, mit der Ausnahme, dass sich ein Dielektrikum 302 über dem IC-Die 104 befinden und die IC-Dies 110, 112 und 114 umgeben kann. Bei verschiedenen Ausführungsformen kann das Dielektrikum 302 anorganische Materialien, zum Beispiel eine Verbindung aus Silicium und mindestens einem von Sauerstoff, Kohlenstoff und Stickstoff, wie etwa Siliciumoxid, Siliciumnitrid, Siliciumcarbid, Siliciumoxinitrid, Siliciumcarbonitrid usw., umfassen. Bei anderen Ausführungsformen kann das Dielektrikum 302 ein organisches Material, wie etwa eine Vergussmasse oder Polyimid, umfassen.
  • 4 ist eine vereinfachte Querschnittsansicht noch eines anderen Ausführungsbeispiels der mikroelektronischen Baugruppe 100 über die Achse BB' von 1 gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Die in 3 gezeigte Ausführungsform ist ähnlich zu der in 2 gezeigten Ausführungsform, mit der Ausnahme, dass eine Verdrahtungsschicht 402 an der Schnittstelle 210 zwischen dem IC-Die 104 und den IC-Dies 110, 112 und 114 vorgesehen sein kann. Es sei angemerkt, dass, auch wenn die Verdrahtungsschicht 420 auf den Raum beschränkt gezeigt ist, der unterhalb der IC-Dies 110, 112 und 114 enthalten ist, sich die Verdrahtungsschicht 420 über die Oberfläche des IC-Die 104 erstrecken kann, ohne vom Schutzumfang der Ausführungsformen hierin abzuweichen. Die Verdrahtungsschicht 420 umfasst ein Dielektrikum 404 und leitfähige Bahnen 406, einschließlich leitfähiger Durchkontaktierungen in dem Dielektrikum 404. Bei einigen Ausführungsformen kann das Dielektrikum 404 das gleiche Material wie das Dielektrikum 302 umfassen; bei anderen Ausführungsformen kann das Dielektrikum 404 ein unterschiedliches Material umfassen. Die leitfähigen Bahnen 406 können Bondpads, Leistungsebenen, Signalbahnen und in einigen Fällen passive Komponenten, wie etwa Transformatoren, Induktoren, Widerstände und Kondensatoren in der Verdrahtungsschicht 420 umfassen. Bei einigen Ausführungsformen kann die Verdrahtungsschicht 402 mehrere Schichten von Dielektrikum 404 und leitfähigen Bahnen 406 umfassen, wobei die leitfähigen Durchkontaktierungen leitfähige Bahnen 406 in unterschiedlichen Schichten leitfähig koppeln; bei anderen Ausführungsformen kann die Verdrahtungsschicht 402 eine einzelne Schicht von leitfähigen Bahnen 406 mit einem Dielektrikum 404 auf jeder Seite umfassen und die leitfähigen Durchkontaktierungen können leitfähige Bahnen 406 mit Bondpads oder anderen leitfähigen Teilen der IC-Dies leitfähig koppeln, mit denen die Verdrahtungsschicht 402 gekoppelt ist, zum Beispiel IC-Dies 104 und 110.
  • Schnittstellen zwischen der Verdrahtungsschicht 402 und angrenzenden Komponenten, zum Beispiel IC-Dies 104, 110, 112, 114 usw., können Zwischenverbindungen mit einem Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen umfassen. Bei einigen Ausführungsformen können Zwischenverbindungen zwischen der Verdrahtungsschicht 402 und den IC-Dies 110, 112 und 114 hybride Bonds umfassen, wohingegen Zwischenverbindungen zwischen der Verdrahtungsschicht 402 und dem IC-Die 104 Durchkontaktierungen über Bondpads umfassen können, die durch einen im Stand der Technik bekannten Damaszierungs- oder Semiadditivprozess gefertigt werden. Bei anderen Ausführungsformen kann die Anordnung umgekehrt sein, wobei Zwischenverbindungen zwischen der Verdrahtungsschicht 402 und dem IC-Die 104 hybride Bonds umfassen und Zwischenverbindungen zwischen der Verdrahtungsschicht 402 und den IC-Dies 110, 112 und 114 Durchkontaktierungen in einem Dielektrikum umfassen. Die leitfähigen Bahnen 406 können strukturiert werden, um bei einigen Ausführungsformen eine laterale elektrische Kopplung zwischen den IC-Dies 110, 112 und 114 bereitzustellen, ohne dass ein leitfähiger Pfad durch den IC-Die 104 erforderlich ist. Die leitfähigen Bahnen 406 können auch strukturiert werden, um eine vertikale elektrische Kopplung zwischen den IC-Dies 104 und den IC-Dies 110, 112 und 114 bereitzustellen.
  • Bei vielen Ausführungsformen umfassen die leitfähigen Bahnen 406 leitfähige Leitungen mit einem Abstand von weniger als 10 Mikrometer. Zum Beispiel umfassen die leitfähigen Bahnen 406 bei einer Ausführungsform leitfähige Leitungen mit einem Leitungsabstand von 1 Mikrometer; bei einer anderen Ausführungsform können die leitfähigen Bahnen 406 leitfähige Leitungen mit einem Leitungsabstand von 10 Mikrometer umfassen; bei noch einer anderen Ausführungsform kann der kleinste Leitungsabstand 1 Mikrometer betragen, während andere leitfähige Leitungen breiter beabstandet sein können.
  • 5 ist eine vereinfachte Draufsicht und ein Blockdiagramm einer beispielhaften E/A-Schaltung 106 in der mikroelektronischen Baugruppe 100 gemäß verschiedenen Ausführungsformen. Die E/A-Schaltung 106 kann bei vielen Ausführungsformen eine PHY-E/A-Schnittstelle umfassen. Die beispielhafte E/A-Schaltung 106 umfasst eine Double Data Rate(DDR)-Schnittstelle; die Lehren in Bezug auf dieses Beispiel sind auf jede andere E/A-Schaltung (z. B. Ethernet, PCIe, 3G/5G usw.) anwendbar, ohne vom Schutzumfang der Ausführungsformen abzuweichen. Die E/A-Schaltung 106 kann ein oder mehrere Datenregister 502, eine oder mehrere Befehls- und Steuerschaltungen (CAC) 504, eine oder mehrere Taktschaltungen (CLK) 506 und eine oder mehrere Kompensatorschaltungen (COMP) 508 umfassen. Die Datenregister 502, CAC 504, CLK 506 und COMP 508 können sich in dem aktiven Gebiet des IC-Die 104 und dem Metallisierungsstapel 208 befinden. Gleichermaßen kann die Mikrosteuerungsschaltung in dem aktiven Gebiet und dem Metallisierungsstapel 204 des IC-Die 110 angeordnet sein. Die Datenregister 502, CAC 504, CLK 506 und COMP 508 und die Mikrosteuerungsschaltung in dem IC-Die 110 können durch leitfähige Bahnen 510 elektrisch gekoppelt sein. Obwohl die leitfähigen Bahnen 510 zur einfachen Veranschaulichung als eine vereinfachte gerade Linie gezeigt sind, können die leitfähigen Bahnen 510 eine beliebige geeignete Form und Struktur umfassen, die einen leitfähigen Pfad zwischen dem aktiven Gebiet in dem IC-Die 110 und dem aktiven Gebiet des IC-Die 104 durch leitfähige Bahnen und Durchkontaktierungen in dem Metallisierungsstapel 204 des IC-Die 110, TSVs 214 und 216 des IC-Die 104 und leitfähige Bahnen und Durchkontaktierungen in dem Metallisierungsstapel 208 des IC-Die 104 ausbilden. Bei verschiedenen Ausführungsformen können eine oder mehrere leitfähige Bahnen 510 beliebige der Datenregister 502, CAC 504, CLK 506 und COMP 508 mit einer Mikrosteuerungsschaltung in dem IC-Die 110 koppeln. Eine oder mehrere solche leitfähigen Bahnen 510 zwischen den Datenregistern 502 und dem IC-Die 110 können Datenkanäle umfassen (z. B. als solche fungieren).
  • Außerdem können leitfähige Bahnen 510 mit einer Schaltungsanordnung 512 gekoppelt sein, die Retimerschaltungen und/oder Repeaterschaltungen umfasst, die in dem IC-Die 110 und/oder dem IC-Die 104 angeordnet sind. Bei einigen Ausführungsformen kann die Repeaterschaltung einen Linearverstärker und elektronische Filter umfassen. Eine beliebige geeignete im Stand der Technik bekannte Repeaterschaltung kann in der Repeaterschaltung der Schaltungsanordnung 512 enthalten sein. Bei einigen Ausführungsformen können die Retimerschaltungen Flip-Flops und Multiplexer umfassen. Eine beliebige geeignete im Stand der Technik bekannte Retimerschaltung kann in der Retimerschaltung der Schaltungsanordnung 512 enthalten sein. Bei einigen Ausführungsformen können leitfähige Bahnen 510 mit einer einzelnen Instanz der Retimerschaltung und/oder der Repeaterschaltung in der Schaltungsanordnung 512 gekoppelt sein; bei anderen Ausführungsformen können leitfähige Bahnen 510 mit mehreren Instanzen der Retimerschaltung und/oder der Repeaterschaltung in der Schaltungsanordnung 512 gekoppelt sein. Die Anzahl und Platzierung der Schaltungsanordnung 512 kann auf der Länge der jeweiligen leitfähigen Bahn 510 basieren, mit der die Schaltungsanordnung 512 gekoppelt ist. Bei vielen Ausführungsformen befindet sich ein Teil der leitfähigen Bahnen 510 in dem IC-Die 104 und ein anderer Teil der leitfähigen Bahnen 510 befindet sich in dem IC-Die 110. Bei einigen Ausführungsformen kann sich noch ein anderer Teil der leitfähigen Bahnen 510 in der Verdrahtungsschicht 402 befinden.
  • Bei einigen Ausführungsformen kann der IC-Die 110 derart dimensioniert sein, dass er in eine Grenze der E/A-Schaltung 106 passt, so dass sich Komponenten der Mikrosteuerungsschaltung so nahe wie möglich zu den jeweiligen Datenregistern 502, CAC 504, CLK 506 und COMP 508, mit denen sie durch leitfähige Bahnen 510 elektrisch gekoppelt sind, befinden können. Somit kann sich zum Beispiel ein Schaltungsblock, der mit den CAC 504 in dem IC-Die 110 elektrisch gekoppelt ist, angrenzend an die und oberhalb der CAC 504 befinden, so dass der leitfähige Pfad von diesem Schaltungsblock zu den CAC 504 kürzer ist, als wenn sich der Schaltungsblock nicht angrenzend an die und über den CAC 504 befände.
  • 6 ist eine vereinfachte Querschnittsansicht noch eines anderen Ausführungsbeispiels der mikroelektronischen Baugruppe 100 über die Achse BB' von 1 gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Die in 3 gezeigte Ausführungsform ist ähnlich zu der in 2 gezeigten Ausführungsform, mit der Ausnahme, dass der IC-Die 104 umgekehrt ist. Bei der gezeigten Ausführungsform befindet sich der Metallisierungsstapel 208 in der Nähe des Metallisierungsstapels 204 des IC-Die 110 in einer Front-to-Front(FTF)-Konfiguration. Es sei angemerkt, dass die in 2-4 gezeigten Ausführungsformen IC-Dies 104 und 110 in einer Back-to-Front(BTF)-Konfiguration zeigen, wobei sich der Metallisierungsstapel 208 des IC-Die 104 von dem Metallisierungsstapel 204 des IC-Die 110 entfernt und in der Nähe der DTPS-Zwischenverbindungen 218 befindet. Beliebige der Ausführungsformen von 2-4 können von der BTF-Konfiguration zu der in 6 gezeigten FTF-Konfiguration modifiziert werden, ohne vom Schutzumfang der Ausführungsformen abzuweichen. Die FTF-Konfiguration kann einen kürzeren leitfähigen Pfad zwischen der E/A-Schaltung 106 und den IC-Dies 110, 112 und 114 bereitstellen.
  • 7 ist eine vereinfachte Querschnittsansicht eines anderen Ausführungsbeispiels der mikroelektronischen Baugruppe 100. Die mikroelektronische Baugruppe 100 umfasst eine Mehrzahl von Schichten, zum Beispiel die Schichten 702, 704 und 706. Jede Schicht 702, 704 und 706 umfasst einen oder mehrere IC-Dies darin. Zum Beispiel befindet sich der IC-Die 708 in der Schicht 702, der IC-Die 104 befindet sich in der Schicht 704 und die IC-Dies 110 und 114 können sich in der Schicht 706 befinden. Es sei angemerkt, dass die mikroelektronische Baugruppe 100 eine beliebige Anzahl an Schichten umfassen kann, ohne vom Schutzumfang der Ausführungsformen abzuweichen. Eine oder mehrere der Schichten 702, 704 und 706 können ein Dielektrikum 302 mit in dem Dielektrikum 302 angeordneten TDVs 710 umfassen. Bei einigen Ausführungsformen kann das Dielektrikum 302 in jeder Schicht ein unterschiedliches Material umfassen; bei anderen Ausführungsformen kann das Dielektrikum 302 in allen Schichten das gleiche Material umfassen; bei noch anderen Ausführungsformen kann das Dielektrikum 302 in einigen Schichten das gleiche Material umfassen, das sich von dem Material in anderen Schichten unterscheiden kann.
  • Die TDVs 710 können DTPS-Zwischenverbindungen 218 mit einer oder mehreren Schichten (z. B. 704, 706) elektrisch koppeln. Die TDVs 710 können auch Komponenten in einer Schicht (z. B. 702) mit Komponenten in einer anderen Schicht (z. B. 706) elektrisch koppeln. Bei einigen Ausführungsformen können alle TDVs 710 die gleiche Größe aufweisen; bei anderen Ausführungsformen können die TDVs 710 unterschiedliche Größen aufweisen, zum Beispiel können einige Leistung führen und größer als andere sein, die Signale führen und kleiner sind. In Abhängigkeit von ihren Funktionalitäten, der Baugruppengrundfläche und anderen Design- und Herstellungsüberlegungen können bei einigen Ausführungsformen einige TDVs 710 weiter voneinander beabstandet sein als andere TDVs 710, wohingegen bei anderen Ausführungsformen die TDVs 710 alle gleichmäßig beabstandet sein können.
  • Bei vielen Ausführungsformen können angrenzende Schichten mechanisch und elektrisch durch DTD-Zwischenverbindungen gekoppelt sein, die ein Rastermaß von weniger als 10 Mikrometer aufweisen; zum Beispiel können die Schichten 702 und 704 durch DTD-Zwischenverbindungen 712 gekoppelt sein; die Schichten 702 und 704 können durch DTD-Zwischenverbindungen 212 gekoppelt sein. Bei einigen Ausführungsformen können die DTD-Zwischenverbindungen 712 und 212 über die Gesamtheit der Bondschnittstellen zwischen den jeweiligen angrenzenden Schichten verteilt sein; bei anderen Ausführungsformen können die DTD-Zwischenverbindungen 712 und 212 auf gekoppelte IC-Dies begrenzt sein.
  • Bei dem Ausführungsbeispiel wird eine Schaltungsanordnung getrennt von der E/A-Schaltung 106 in einen separaten IC-Die 708 aussepariert. Zum Beispiel kann der IP-Kern 108 in dem IC-Die 708 anstatt dem IC-Die 104 bereitgestellt sein. Der IP-Kern 108 kann mit der E/A-Schaltung 106 in dem IC-Die 104 in der Schicht 704 durch DTD-Zwischenverbindungen 712 leitfähig gekoppelt sein. Der IC-Die 110 kann mit dem IC-Die 104 in der Schicht 706 leitfähig gekoppelt sein, wodurch ein mehrschichtiges Gehäuse ausgebildet wird. Da das Rastermaß der DTD-Zwischenverbindungen 712 die gleiche Größenordnung wie der Leitungsabstand und das Rastermaß von leitfähigen Bahnen in den Metallisierungsstapeln der IC-Dies aufweist, gibt es möglicherweise keine effektive Änderung bei der funktionalen Leistungsfähigkeit (z. B. IR-Abfall, Verzögerung usw.) durch Verschieben des IP-Kerns 108 in einen separaten IC-Die 708. Ferner kann der IC-Die 708 unter Verwendung von Halbleiterprozessen gefertigt werden, die auf ein Verbessern der Leistungsfähigkeit des IP-Kerns 108 zugeschnitten sind, wohingegen der IC-Die 104 unter Verwendung eines anderen Halbleiterprozesses gefertigt werden kann, der auf ein Verbessern der Leistungsfähigkeit der darin enthaltenen Schaltungen zugeschnitten ist. Infolgedessen können bei einigen Ausführungsformen Transistoren in dem IC-Die 708 kleiner als Transistoren in dem IC-Die 104 sein.
  • 8 zeigt eine einzelne eines Ausführungsbeispiels von DTD-Zwischenverbindungen 212 mit hybriden Bonds in größerem Detail. Es sei angemerkt, dass, obwohl eine der DTD-Zwischenverbindungen 212 gezeigt ist, die gleiche Struktur und Beschreibung für beliebige andere DTD-Zwischenverbindungen (z. B. 712) mit hybriden Bonds in der mikroelektronischen Baugruppe 100 gelten können. An der Schnittstelle 210 zwischen dem IC-Die 104 und dem IC-Die 110 kann der zu dem IC-Die 104 gehörende leitfähige Kontakt 802 an den leitfähigen Kontakt 804 des IC-Die 110 gebondet werden; gleichermaßen kann das Dielektrikum 806 (z. B. Siliciumoxid, Siliciumnitrid, Siliciumoxinitrid usw.) in dem IC-Die 104 an das Dielektrikum 808 in dem IC-Die 110 gebondet werden. Die gebondeten Zwischenverbindungen bilden DTD-Zwischenverbindungen 212, die hybride Bonds umfassen, die eine elektrische und mechanische Kopplung zwischen dem IC-Die 104 und dem IC-Die 110 bereitstellen.
  • Bei verschiedenen Ausführungsformen können beliebige der unter Bezugnahme auf beliebige von 1-8 hierin erörterten Merkmale mit beliebigen anderen Merkmalen kombiniert werden, um ein Gehäuse mit einem oder mehreren IC-Dies wie hierin beschrieben auszubilden, um zum Beispiel eine modifizierte mikroelektronische Baugruppe 100 auszubilden. Einige solche Kombinationen sind oben beschrieben, jedoch sind bei verschiedenen Ausführungsformen weitere Kombinationen und Modifikationen möglich.
  • Beispielhafte Vorrichtungen und Komponenten
  • Die hierin offenbarten Gehäuse, z. B. eine beliebige der in 1-8 gezeigten Ausführungsformen oder beliebige weitere hierin beschriebene Ausführungsformen, können in einer beliebigen geeigneten elektronischen Komponente beinhaltet sein. 9-11 veranschaulichen verschiedene Beispiele für Gehäuse, Baugruppen und Vorrichtungen, die mit beliebigen der hierin offenbarten IC-Gehäuse verwendet werden können oder diese beinhalten können.
  • 9 ist eine Seitenquerschnittsansicht eines beispielhaften IC-Gehäuses 2200, das IC-Gehäuse gemäß beliebigen der hierin offenbarten Ausführungsformen beinhalten kann. Bei einigen Ausführungsformen kann das IC-Gehäuse 2200 ein System-in-Package (SiP: System-in-Package) sein.
  • Wie in der Figur gezeigt, kann ein Gehäusesubstrat 2252 aus einem Isolator (z. B. einer Keramik, einem Aufbaufilm, einem Epoxidfilm mit Füllstoffteilchen darin usw.) ausgebildet sein und kann leitfähige Pfade aufweisen, die sich durch den Isolator zwischen einer ersten Fläche 2272 und einer zweiten Fläche 2274 oder zwischen unterschiedlichen Positionen auf der ersten Fläche 2272 und/oder zwischen unterschiedlichen Positionen auf der zweiten Fläche 2274 erstrecken. Diese leitfähigen Pfade können die Gestalt einer beliebigen der Zwischenverbindungsstrukturen annehmen, die Leitungen und/oder Durchkontaktierungen umfassen.
  • Das Gehäusesubstrat 2252 kann leitfähige Kontakte 2263 beinhalten, die mit einem leitfähigen Pfad 2262 durch das Gehäusesubstrat 2252 gekoppelt sind, wodurch ermöglicht wird, dass eine Schaltungsanordnung in Dies 2256 und/oder einem Interposer 2257 mit verschiedenen von leitfähigen Kontakten 2264 (oder mit anderen, nicht gezeigten Vorrichtungen, die in dem Gehäusesubstrat 2252 beinhaltet sind) elektrisch gekoppelt wird.
  • Das IC-Gehäuse 2200 kann einen Interposer 2257 beinhalten, der über leitfähige Kontakte 2261 des Interposers 2257, Zwischenverbindungen 2265 erster Ebene und leitfähige Kontakte 2263 des Gehäusesubstrats 2252 mit dem Gehäusesubstrat 2252 gekoppelt ist. In der Figur veranschaulichte Zwischenverbindungen 2265 erster Ebene sind Lötkontakthügel, jedoch können beliebige geeignete Zwischenverbindungen 2265 erster Ebene verwendet werden, wie etwa Lötkontakthügel, Lötpfosten oder Bonddrähte.
  • Das IC-Gehäuse 2200 kann einen oder mehrere Dies 2256 beinhalten, die über leitfähige Kontakte 2254 der Dies 2256, Zwischenverbindungen 2258 erster Ebene und leitfähige Kontakte 2260 des Interposers 2257 mit dem Interposer 2257 gekoppelt sind. Die leitfähigen Kontakte 2260 können mit (nicht gezeigten) leitfähigen Pfaden durch den Interposer 2257 gekoppelt sein, wodurch ermöglicht wird, dass eine Schaltungsanordnung in den Dies 2256 mit verschiedenen leitfähigen Kontakten 2261 (oder mit anderen, nicht gezeigten Vorrichtungen, die in dem Interposer 2257 beinhaltet sind) elektrisch gekoppelt wird. In der Figur veranschaulichte Zwischenverbindungen 2258 erster Ebene sind Lötkontakthügel, jedoch können beliebige geeignete Zwischenverbindungen 2258 erster Ebene verwendet werden, wie etwa Lötkontakthügel, Lötpfosten oder Bonddrähte. Wie hierin verwendet, kann sich ein „leitfähiger Kontakt“ auf einen Teil eines elektrisch leitfähigen Materials (z. B. Metalls) beziehen, der als eine Schnittstelle zwischen unterschiedlichen Komponenten dient; leitfähige Kontakte können in einer Oberfläche einer Komponente vertieft, bündig mit dieser sein oder sich von dieser weg erstrecken und können eine beliebige geeignete Gestalt (z. B. ein leitfähiges Pad oder Sockel) annehmen.
  • Bei einigen Ausführungsformen kann ein Unterfüllungsmaterial 2266 zwischen dem Gehäusesubstrat 2252 und dem Interposer 2257 um Zwischenverbindungen 2265 erster Ebene herum angeordnet sein, und die Vergussmasse 2268 kann um Dies 2256 und den Interposer 2257 herum und in Kontakt mit dem Gehäusesubstrat 2252 angeordnet sein. Bei einigen Ausführungsformen kann das Unterfüllungsmaterial 2266 das gleiche wie die Vergussmasse 2268 sein. Beispielhafte Materialien, die für das Unterfüllungsmaterial 2266 und die Vergussmasse 2268 verwendet werden können, sind geeignete Epoxide. Zwischenverbindungen 2270 zweiter Ebene können mit den leitfähigen Kontakten 2264 gekoppelt sein. In der Figur veranschaulichte Zwischenverbindungen 2270 zweiter Ebene sind Lötkugeln (z. B. für eine Kugelgitterarray(BGA)-Anordnung (BGA: Ball Grid Array)), jedoch können beliebige geeignete Zwischenverbindungen 2270 zweiter Ebene verwendet werden (z. B. Stifte in einer Stiftgitterarray-Anordnung oder Kontaktflecken in einer Kontaktfleckengitterarray-Anordnung). Zwischenverbindungen 2270 zweiter Ebene können verwendet werden, um das IC-Gehäuse 2200 mit einer anderen Komponente, wie etwa einer Leiterplatte (z. B. einer Hauptplatine), einem Interposer oder einem anderen IC-Gehäuse zu koppeln, wie im Stand der Technik bekannt ist und nachstehend unter Bezugnahme auf 10 erörtert wird.
  • Bei verschiedenen Ausführungsformen können beliebige der Dies 2256 eine mikroelektronische Baugruppe 100 wie hierin beschrieben sein. Bei Ausführungsformen, bei denen das IC-Gehäuse 2200 mehrere Dies 2256 beinhaltet, kann das IC-Gehäuse 2200 als ein Mehrfachchipgehäuse (MCP: Multi-Chip Package) bezeichnet werden. Die Dies 2256 können eine Schaltungsanordnung zum Durchführen einer beliebigen gewünschten Funktionalität beinhalten. Neben einem oder mehreren Dies 2256, die die mikroelektronische Baugruppe 100 wie hierin beschrieben sind, können zum Beispiel ein oder mehrere Dies 2256 Logik-Dies (z. B. siliciumbasierte Dies) sein, ein oder mehrere Dies 2256 können Speicher-Dies (z. B. Speicher mit hoher Bandbreite) sein usw. Bei einigen Ausführungsformen können beliebige der Dies 2256 wie unter Bezugnahme auf eine der vorhergehenden Figuren erörtert implementiert werden. Bei einigen Ausführungsformen beinhalten zumindest einige der Dies 2256 möglicherweise keine Implementierungen wie hierin beschrieben.
  • Obwohl das in der Figur veranschaulichte IC-Gehäuse 2200 ein Flip-Chip-Gehäuse ist, können andere Gehäusearchitekturen verwendet werden. Zum Beispiel kann das IC-Gehäuse 2200 ein BGA-Gehäuse sein, wie etwa ein Gehäuse mit eingebettetem Kugelgitterarray auf Waferebene (eWLB: embedded Wafer-Level Ball Grid Array). Bei einem anderen Beispiel kann das IC-Gehäuse 2200 ein Gehäuse im Chipmaßstab auf Waferebene (WLCSP: Wafer Level Chip Scale Package) oder ein Panel-Fan-out(FO)-Package sein. Obwohl zwei Dies 2256 in dem IC-Gehäuse 2200 veranschaulicht sind, kann ein IC-Gehäuse 2200 eine beliebige gewünschte Anzahl von Dies 2256 beinhalten. Das IC-Gehäuse 2200 kann zusätzliche passive Komponenten beinhalten, wie etwa oberflächenmontierte Widerstände, Kondensatoren und Induktoren, die über der ersten Fläche 2272 oder der zweiten Fläche 2274 des Gehäusesubstrats 2252 oder auf einer beliebigen Fläche des Interposers 2257 angeordnet sind. Allgemeiner ausgedrückt, kann das IC-Gehäuse 2200 beliebige andere aktive oder passive Komponenten beinhalten, die im Stand der Technik bekannt sind.
  • Bei einigen Ausführungsformen ist möglicherweise kein Interposer 2257 in dem IC-Gehäuse 2200 enthalten; stattdessen können die Dies 2256 durch Zwischenverbindungen 2265 erster Ebene direkt mit den leitfähigen Kontakten 2263 an der ersten Fläche 2272 gekoppelt sein.
  • 10 ist eine Querschnittsseitenansicht einer IC-Vorrichtungsbaugruppe 2300, die Komponenten mit einer oder mehreren mikroelektronischen Baugruppen 100 gemäß beliebigen der hierin offenbarten Ausführungsformen beinhalten kann. Die IC-Vorrichtungsbaugruppe 2300 beinhaltet eine Anzahl von Komponenten, die über einer Leiterplatte 2302 (die z. B. eine Hauptplatine sein kann) angeordnet sind. Die IC-Vorrichtungsbaugruppe 2300 beinhaltet Komponenten, die über einer ersten Fläche 2340 der Leiterplatte 2302 und einer gegenüberliegenden zweiten Fläche 2342 der Leiterplatte 2302 angeordnet sind; im Allgemeinen können Komponenten über einer oder beiden Flächen 2340 und 2342 angeordnet sein. Insbesondere können beliebige geeignete der Komponenten der IC-Vorrichtungsbaugruppe 2300 beliebige der einen oder mehreren mikroelektronischen Baugruppen 100 gemäß beliebigen der hierin offenbarten Ausführungsformen beinhalten; z. B. können beliebige der nachstehend unter Bezugnahme auf die IC-Vorrichtungsbaugruppe 2300 erörterten IC-Gehäuse die Gestalt einer beliebigen der oben unter Bezugnahme auf 9 erörterten Ausführungsformen des IC-Gehäuses 2200 annehmen.
  • Bei einigen Ausführungsformen kann die Leiterplatte 2302 eine PCB sein, die mehrere Metallschichten beinhaltet, die durch Schichten eines Isolators voneinander separiert und durch elektrisch leitfähige Durchkontaktierungen miteinander verbunden sind. Eine oder mehrere beliebige der Metallschichten können in einer gewünschten Schaltungsstruktur ausgebildet sein, um elektrische Signale (optional in Verbindung mit anderen Metallschichten) zwischen den mit der Leiterplatte 2302 gekoppelten Komponenten zu leiten. Bei anderen Ausführungsformen kann die Leiterplatte 2302 ein Nicht-PCB-Gehäusesubstrat sein.
  • Wie in der Figur veranschaulicht, kann die IC-Vorrichtungsbaugruppe 2300 bei einigen Ausführungsformen eine Gehäuse-auf-Interposer-Struktur 2336 beinhalten, die durch Kopplungskomponenten 2316 mit der ersten Fläche 2340 der Leiterplatte 2302 gekoppelt ist. Die Kopplungskomponenten 2316 können die Gehäuse-auf-Interposer-Struktur 2336 elektrisch und mechanisch mit der Leiterplatte 2302 koppeln und können Lötkugeln (wie gezeigt), männliche und weibliche Teile eines Sockels, einen Haftstoff, ein Unterfüllungsmaterial und/oder eine beliebige andere geeignete elektrische und/oder mechanische Kopplungsstruktur beinhalten.
  • Die Gehäuse-auf-Interposer-Struktur 2336 kann ein IC-Gehäuse 2320 beinhalten, das durch Kopplungskomponenten 2318 mit einem Interposer 2304 gekoppelt ist. Die Kopplungskomponenten 2318 können eine beliebige geeignete Gestalt in Abhängigkeit von gewünschten Funktionalitäten annehmen, wie etwa die oben unter Bezugnahme auf die Kopplungskomponenten 2316 erörterten Gestalten. Bei einigen Ausführungsformen kann das IC-Gehäuse 2320 das IC-Gehäuse 2200 sein oder beinhalten, wie z. B. oben unter Bezugnahme auf 9 beschrieben. Bei einigen Ausführungsformen kann das IC-Gehäuse 2320 mindestens eine mikroelektronische Baugruppe 100 wie hierin beschrieben beinhalten. Die mikroelektronische Baugruppe 100 ist in der Figur nicht speziell gezeigt, um die Zeichnung nicht unübersichtlich zu machen.
  • Obwohl ein einzelnes IC-Gehäuse 2320 in der Figur gezeigt ist, können mehrere IC-Gehäuse mit dem Interposer 2304 gekoppelt sein; tatsächlich können zusätzliche Interposer mit dem Interposer 2304 gekoppelt sein. Der Interposer 2304 kann ein dazwischenliegendes Gehäusesubstrat bereitstellen, das verwendet wird, um die Leiterplatte 2302 und das IC-Gehäuse 2320 zu überbrücken. Allgemein kann der Interposer 2304 eine Verbindung auf ein größeres Rastermaß umverteilen oder eine Verbindung zu einer anderen Verbindung umleiten. Zum Beispiel kann der Interposer 2304 das IC-Gehäuse 2320 mit einem BGA der Kopplungskomponenten 2316 zum Koppeln mit der Leiterplatte 2302 koppeln.
  • Bei der in der Figur veranschaulichten Ausführungsform sind das IC-Gehäuse 2320 und die Leiterplatte 2302 auf gegenüberliegenden Seiten des Interposers 2304 angebracht. Bei anderen Ausführungsformen können das IC-Gehäuse 2320 und die Leiterplatte 2302 auf einer gleichen Seite des Interposers 2304 angebracht sein. Bei einigen Ausführungsformen können drei oder mehr Komponenten mittels des Interposers 2304 miteinander verbunden sein.
  • Der Interposer 2304 kann aus einem Epoxidharz, einem glasfaserverstärkten Epoxidharz, einem Keramikmaterial oder einem Polymermaterial wie etwa Polyimid ausgebildet sein. Bei einigen Implementierungen kann der Interposer 2304 aus alternativen starren oder flexiblen Materialien ausgebildet sein, die die gleichen Materialien beinhalten können, die oben zur Verwendung in einem Halbleitersubstrat beschrieben sind, wie etwa Silicium, Germanium und andere Materialien der Gruppen III-V und Gruppe IV. Der Interposer 2304 kann Metallzwischenverbindungen 2308 und Durchkontaktierungen 2310 beinhalten, einschließlich, ohne jedoch darauf beschränkt zu sein, die TSVs 2306. Der Interposer 2304 kann ferner eingebettete Vorrichtungen 2314 beinhalten, die sowohl passive als auch aktive Vorrichtungen beinhalten. Solche Vorrichtungen können, ohne jedoch darauf beschränkt zu sein, Kondensatoren, Entkopplungskondensatoren, Widerstände, Induktoren, Sicherungen, Dioden, Transformatoren, Sensoren, ESD-Vorrichtungen und Speichervorrichtungen beinhalten. Komplexere Vorrichtungen, wie etwa HF-Vorrichtungen, Leistungsverstärker, Leistungsverwaltungsvorrichtungen, Antennen, Arrays, Sensoren und Vorrichtungen mikroelektromechanischer Systeme (MEMS), können auch auf dem Interposer 2304 ausgebildet sein. Die Gehäuse-auf-Interposer-Struktur 2336 kann die Gestalt beliebiger der im Stand der Technik bekannten Gehäuse-auf-Interposer-Strukturen annehmen.
  • Bei einigen Ausführungsformen kann die IC-Vorrichtungsbaugruppe 2300 ein IC-Gehäuse 2324 beinhalten, das durch Kopplungskomponenten 2322 mit der ersten Fläche 2340 der Leiterplatte 2302 gekoppelt ist. Die Kopplungskomponenten 2322 können die Gestalt beliebiger der oben unter Bezugnahme auf die Kopplungskomponenten 2316 erörterten Ausführungsformen annehmen, und das IC-Gehäuse 2324 kann die Gestalt beliebiger der oben unter Bezugnahme auf das IC-Gehäuse 2320 erörterten Ausführungsformen annehmen.
  • Bei einigen Ausführungsformen kann die IC-Vorrichtungsbaugruppe 2300 eine Gehäuse-auf-Gehäuse-Struktur2334 beinhalten, die durch die Kopplungskomponenten 2328 mit der zweiten Fläche 2342 der Leiterplatte 2302 gekoppelt ist. Die Gehäuse-auf-Gehäuse-Struktur 2334 kann ein IC-Gehäuse 2326 und ein IC-Gehäuse 2332 beinhalten, die durch Kopplungskomponenten 2330 derart miteinander gekoppelt sind, dass das IC-Gehäuse 2326 zwischen der Leiterplatte 2302 und dem IC-Gehäuse 2332 angeordnet ist. Die Kopplungskomponenten 2328 und 2330 können die Gestalt beliebiger der oben erörterten Ausführungsformen von Kopplungskomponenten 2316 annehmen, und die IC-Gehäuse 2326 und/oder 2332 können die Gestalt beliebiger der oben erörterten Ausführungsformen des IC-Gehäuses 2320 annehmen. Die Gehäuse-auf-Gehäuse-Struktur 2334 kann gemäß beliebigen im Stand der Technik bekannten Gehäuse-auf-Gehäuse-Strukturen konfiguriert sein.
  • 11 ist ein Blockdiagramm einer beispielhaften Rechenvorrichtung 2400, die eine oder mehrere Komponenten mit einem oder mehreren IC-Gehäusen gemäß beliebigen der hierin offenbarten Ausführungsformen beinhalten kann. Zum Beispiel können beliebige geeignete der Komponenten der Rechenvorrichtung 2400 eine mikroelektronische Baugruppe (z. B. 100) gemäß beliebigen der hierin offenbarten Ausführungsformen beinhalten. Bei einem anderen Beispiel können eine oder mehrere beliebige der Komponenten der Rechenvorrichtung 2400 beliebige Ausführungsformen des IC-Gehäuses 2200 (z. B. wie in 9 gezeigt) beinhalten. Bei noch einem anderen Beispiel können eine oder mehrere beliebige der Komponenten der Rechenvorrichtung 2400 eine IC-Vorrichtungsbaugruppe 2300 (z. B. wie in 10 gezeigt) beinhalten.
  • Eine Anzahl von Komponenten ist in der Figur als in der Rechenvorrichtung 2400 enthalten veranschaulicht, jedoch können eine oder mehrere beliebige dieser Komponenten weggelassen oder dupliziert werden, wie es für die Anwendung geeignet ist. Bei einigen Ausführungsformen können einige oder alle der Komponenten, die in der Rechenvorrichtung 2400 enthalten sind, an einer oder mehreren Hauptplatinen angebracht sein. Bei einigen Ausführungsformen können einige oder alle dieser Komponenten auf einem einzigen SOC-Die gefertigt sein.
  • Zusätzlich beinhaltet die Rechenvorrichtung 2400 bei verschiedenen Ausführungsformen eine oder mehrere der in der Figur veranschaulichten Komponenten möglicherweise nicht, stattdessen beinhaltet die Rechenvorrichtung 2400 möglicherweise jedoch eine Schnittstellenschaltungsanordnung zum Koppeln mit der einen oder den mehreren Komponenten. Zum Beispiel beinhaltet die Rechenvorrichtung 2400 möglicherweise keine Anzeigevorrichtung 2406, sondern beinhaltet möglicherweise eine Anzeigevorrichtungsschnittstellenschaltungsanordnung (z. B. einen Verbinder und eine Treiberschaltungsanordnung), mit der die Anzeigevorrichtung 2406 gekoppelt werden kann. In einem anderen Satz von Beispielen beinhaltet die Rechenvorrichtung 2400 möglicherweise keine Audioeingabevorrichtung 2418 oder Audioausgabevorrichtung 2408, sondern beinhaltet möglicherweise eine Audioeingabe- oder -ausgabevorrichtungsschnittstellenschaltungsanordnung (z. B. Verbinder und eine unterstützende Schaltungsanordnung), mit der die Audioeingabevorrichtung 2418 oder die Audioausgabevorrichtung 2408 gekoppelt werden kann.
  • Die Rechenvorrichtung 2400 kann eine Verarbeitungsvorrichtung 2402 (z. B. eine oder mehrere Verarbeitungsvorrichtungen) beinhalten. Wie hierin verwendet, kann sich der Begriff „Verarbeitungsvorrichtung“ oder „Prozessor“ auf eine beliebige Vorrichtung oder einen beliebigen Teil einer Vorrichtung beziehen, die bzw. der elektronische Daten aus Registern und/oder Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten zu transformieren, die in Registern und/oder Speicher gespeichert werden können. Die Verarbeitungsvorrichtung 2402 kann eine bzw. einen oder mehrere DSPs, ASICs, CPUs, GPUs, Kryptoprozessoren (spezialisierte Prozessoren, die kryptografische Algorithmen in Hardware ausführen), Serverprozessoren oder beliebige andere geeignete Verarbeitungsvorrichtungen beinhalten. Die Rechenvorrichtung 2400 kann einen Speicher 2404 beinhalten, der selbst eine oder mehrere Speichervorrichtungen beinhalten kann, wie etwa flüchtigen Speicher (z. B. dynamischen Direktzugriffsspeicher (DRAM: Dynamic Random Access Memory)), nichtflüchtigen Speicher (z. B. Nur-Lese-Speicher (ROM: Read-Only Memory)), Flash-Speicher, Festkörperspeicher und/oder eine Festplatte. Bei einigen Ausführungsformen kann der Speicher 2404 einen Speicher beinhalten, der einen Die mit der Verarbeitungsvorrichtung 2402 teilt. Dieser Speicher kann als Cache-Speicher verwendet werden und kann einen eingebetteten dynamischen Direktzugriffsspeicher (eDRAM: embedded Dynamic Random Access Memory) oder einen magnetischen Spin-Transfer-Torque-Direktzugriffsspeicher (STT-MRAM: Spin Transfer Torque Magnetic Random Access Memory) beinhalten.
  • Bei einigen Ausführungsformen kann die Rechenvorrichtung 2400 einen Kommunikationschip 2412 (z. B. einen oder mehrere Kommunikationschips) beinhalten. Zum Beispiel kann der Kommunikationschip 2412 zum Verwalten drahtloser Kommunikationen für die Übertragung von Daten zu und von der Rechenvorrichtung 2400 konfiguriert sein. Der Begriff „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Vorrichtungen, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die Daten durch die Verwendung modulierter elektromagnetischer Strahlung durch ein nichtfestes Medium kommunizieren können. Der Begriff impliziert nicht, dass die damit assoziierten Vorrichtungen keine Drähte enthalten, auch wenn sie bei einigen Ausführungsformen möglicherweise keine enthalten könnten.
  • Der Kommunikationschip 2412 kann beliebige einer Anzahl von Drahtlosstandards oder-protokollen implementieren, einschließlich, ohne jedoch darauf beschränkt zu sein, Standards des Institute for Electrical and Electronic Engineers (IEEE), einschließlich Wi-Fi (IEEE 802.11-Familie), IEEE 802.16-Standards (z. B. Änderung IEEE 802.16-2005), LTE-Projekt zusammen mit beliebigen Änderungen, Aktualisierungen und/oder Revisionen (z. B. Advanced LTE-Projekt, Ultramobile Broadband(UMB)-Projekt (auch als „3GPP2“ bezeichnet) usw.). Mit IEEE 802.16 kompatible Netzwerke mit drahtlosem Breitbandzugang (BWA: Broadband Wireless Access) werden im Allgemeinen als WiMAX-Netzwerke bezeichnet, ein Akronym, das für Worldwide Interoperability for Microwave Access steht, das ein Zertifizierungszeichen für Produkte ist, die Konformitäts- und Interoperabilitätstests für die IEEE 802.16-Standards bestehen. Der Kommunikationschip 2412 kann gemäß einem Global System for Mobile Communication(GSM)-, General Packet Radio Service(GPRS)-, Universal Mobile Telecommunications System(UMTS)-, High-Speed Packet Access(HSPA)-, Evolved HSPA(E-HSPA)- oder LTE-Netzwerk arbeiten. Der Kommunikationschip 2412 kann gemäß Enhanced Data for GSM Evolution (EDGE), GSM EDGE Radio Access Network (GERAN), Universal Terrestrial Radio Access Network (UTRAN) oder Evolved UTRAN (E-UTRAN) arbeiten. Der Kommunikationschip 2412 kann gemäß Codemultiplex-Vielfachzugriff (CDMA: Code Division Multiple Access), Zeitmultiplex-Vielfachzugriff (TDMA: Time Division Multiple Access), Digital Enhanced Cordless Telecommunications (DECT), Evolution-Data Optimized (EV-DO) und Ableitungen davon sowie beliebigen anderen Drahtlosprotokollen arbeiten, die als 3G, 4G, 5G und höher bezeichnet werden. Der Kommunikationschip 2412 kann bei anderen Ausführungsformen gemäß anderen Drahtlosprotokollen arbeiten. Die Rechenvorrichtung 2400 kann eine Antenne 2422 beinhalten, um drahtlose Kommunikationen zu ermöglichen und/oder um andere drahtlose Kommunikationen (wie etwa AM- oder FM-Funkübertragungen) zu empfangen.
  • Bei einigen Ausführungsformen kann der Kommunikationschip 2412 drahtgebundene Kommunikationen, wie etwa elektrische, optische oder beliebige andere geeignete Kommunikationsprotokolle (z. B. das Ethernet), verwalten. Wie oben erwähnt, kann der Kommunikationschip 2412 mehrere Kommunikationschips beinhalten. Zum Beispiel kann ein erster Kommunikationschip 2412 für drahtlose Kommunikationen mit kürzerer Reichweite dediziert sein, wie etwa Wi-Fi oder Bluetooth, und ein zweiter Kommunikationschip 2412 kann für drahtlose Kommunikationen mit längerer Reichweite dediziert sein, wie etwa das globale Positionsbestimmungssystem (GPS: Global Positioning System), EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO oder andere. Bei einigen Ausführungsformen kann ein erster Kommunikationschip 2412 für drahtlose Kommunikationen dediziert sein und ein zweiter Kommunikationschip 2412 kann für drahtgebundene Kommunikationen dediziert sein.
  • Die Rechenvorrichtung 2400 kann eine Batterie-/Leistungsschaltungsanordnung 2414 beinhalten. Die Batterie-/Leistungsschaltungsanordnung 2414 kann eine oder mehrere Energiespeicherungsvorrichtungen (z. B. Batterien oder Kondensatoren) und/oder eine Schaltungsanordnung zum Koppeln von Komponenten der Rechenvorrichtung 2400 mit einer von der Rechenvorrichtung 2400 separaten Energiequelle (z. B. Netzspannungsleistung) beinhalten.
  • Die Rechenvorrichtung 2400 kann eine Anzeigevorrichtung 2406 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert) beinhalten. Die Anzeigevorrichtung 2406 kann beliebige visuelle Indikatoren beinhalten, wie etwa zum Beispiel ein Heads-Up-Display, einen Computermonitor, einen Projektor, eine Berührungsbildschirmanzeige, eine Flüssigkristallanzeige (LCD), eine Leuchtdiodenanzeige oder eine Flachbildschirmanzeige.
  • Die Rechenvorrichtung 2400 kann eine Audioausgabevorrichtung 2408 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert) beinhalten. Die Audioausgabevorrichtung 2408 kann eine beliebige Vorrichtung beinhalten, die einen akustischen Indikator erzeugt, wie etwa zum Beispiel Lautsprecher, Kopfhörer oder Ohrhörer.
  • Die Rechenvorrichtung 2400 kann eine Audioeingabevorrichtung 2418 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert) beinhalten. Die Audioeingabevorrichtung 2418 kann eine beliebige Vorrichtung beinhalten, die ein Signal erzeugt, das einen Ton darstellt, wie etwa Mikrofone, Mikrofonarrays oder digitale Instrumente (z. B. Instrumente mit einem Musical Instrument Digital Interface(MIDI)-Ausgang).
  • Die Rechenvorrichtung 2400 kann eine GPS-Vorrichtung 2416 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert) beinhalten. Die GPS-Vorrichtung 2416 kann in Kommunikation mit einem satellitenbasierten System sein und einen Standort der Rechenvorrichtung 2400 empfangen, wie im Stand der Technik bekannt ist.
  • Die Rechenvorrichtung 2400 kann eine andere Ausgabevorrichtung 2410 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert) beinhalten. Beispiele für die andere Ausgabevorrichtung 2410 können einen Audiocodec, einen Videocodec, einen Drucker, einen drahtgebundenen oder drahtlosen Sender zum Bereitstellen von Informationen zu anderen Vorrichtungen oder eine zusätzliche Speicherungsvorrichtung beinhalten.
  • Die Rechenvorrichtung 2400 kann eine andere Eingabevorrichtung 2420 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert) beinhalten. Beispiele für die andere Eingabevorrichtung 2420 können einen Beschleunigungsmesser, ein Gyroskop, einen Kompass, eine Bilderfassungsvorrichtung, eine Tastatur, eine Cursor-Steuervorrichtung wie etwa eine Maus, einen Stylus, ein Berührungsfeld, ein Strichcode-Lesegerät, ein Quick Response(QR)-Code-Lesegerät, einen beliebigen Sensor oder ein Radio Frequency Identification(RFID)-Lesegerät beinhalten.
  • Die Rechenvorrichtung 2400 kann einen beliebigen gewünschten Formfaktor aufweisen, wie etwa eine handgehaltene oder mobile Rechenvorrichtung (z. B. ein Mobiltelefon, ein Smartphone, eine mobile Internetvorrichtung, ein Musikwiedergabegerät, ein Tablet-Computer, ein Laptop-Computer, ein Netbook-Computer, ein Ultrabook-Computer, ein persönlicher digitaler Assistent (PDA: Personal Digital Assistant), ein ultramobiler Personal-Computer usw.), eine Desktop-Rechenvorrichtung, ein Server oder eine andere vernetzte Rechenkomponente, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungssteuereinheit, eine Fahrzeugsteuereinheit, eine digitale Kamera, ein digitaler Videorekorder oder eine tragbare Rechenvorrichtung. Bei einigen Ausführungsformen kann die Rechenvorrichtung 2400 eine beliebige andere elektronische Vorrichtung sein, die Daten verarbeitet.
  • Ausqewählte Beispiele
  • Die folgenden Absätze stellen verschiedene Beispiele der hierin offenbarten Ausführungsformen bereit.
  • Beispiel 1 stellt eine mikroelektronische Baugruppe (z. B. 100) bereit, die Folgendes umfasst (z. B. 1): einen ersten IC-Die (z. B. 104), wobei der erste IC-Die eine E/A-Schaltung (z. B. 106) umfasst; und eine Mehrzahl von IC-Dies (z. B. 110, 112, 114), wobei die Mehrzahl von IC-Dies einen zweiten IC-Die (z. B. 110) umfasst, wobei der zweite IC-Die eine Mikrosteuerungsschaltung zum Steuern der E/A-Schaltung umfasst, wobei der erste IC-Die und die Mehrzahl von IC-Dies mit Zwischenverbindungen (z. B. 212, 7) gekoppelt sind, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen.
  • Beispiel 2 stellt die mikroelektronische Baugruppe nach Anspruch 1 bereit, wobei die mikroelektronische Baugruppe ferner einen dritten IC-Die (z. B. 112) in der Mehrzahl von IC-Dies umfasst, wobei der dritte IC-Die passive Komponenten umfasst, die in einen Metallisierungsstapel des dritten IC-Die integriert sind.
  • Beispiel 3 stellt die mikroelektronische Baugruppe nach Anspruch 2 bereit, wobei die passiven Komponenten mindestens eines von Folgendem umfassen: Induktoren, Widerstände und Kondensatoren.
  • Beispiel 4 stellt die mikroelektronische Baugruppe nach einem der Beispiele 2-3 bereit, die ferner einen vierten IC-Die (z. B. 114) in der Mehrzahl von IC-Dies umfasst, wobei der vierte IC-Die eine oder mehrere Schaltungen zum Interagieren mit der E/A-Schaltung umfasst.
  • Beispiel 5 stellt die mikroelektronische Baugruppe nach Anspruch 4 bereit, wobei die eine oder mehreren Schaltungen eine Schaltung zum Schutz gegen elektrostatische Entladung (ESD) (z. B. eine ESD-Diode) umfassen.
  • Beispiel 6 stellt die mikroelektronische Baugruppe nach einem der Beispiele 1-5 bereit, wobei sich die E/A-Schaltung in der Nähe einer Peripherie des ersten IC-Die befindet.
  • Beispiel 7 stellt die mikroelektronische Baugruppe nach Anspruch 6 bereit, wobei der zweite IC-Die an die E/A-Schaltung des ersten IC-Die angrenzt.
  • Beispiel 8 stellt die mikroelektronische Baugruppe nach Anspruch 7 bereit, wobei sich die E/A-Schaltung innerhalb einer Grenze einer Grundfläche des zweiten IC-Die befindet.
  • Beispiel 9 stellt die mikroelektronische Baugruppe nach einem der Beispiele 1-8 bereit, die ferner leitfähige Bahnen (z. B. 510) zwischen der E/A-Schaltung und der Mehrzahl von IC-Dies umfasst.
  • Beispiel 10 stellt die mikroelektronische Baugruppe nach Anspruch 9 bereit, wobei sich ein Teil der leitfähigen Bahnen in dem ersten IC-Die befindet und sich ein anderer Teil der leitfähigen Bahnen in dem zweiten IC-Die befindet.
  • Beispiel 11 stellt die mikroelektronische Baugruppe nach Anspruch 9 bereit, die ferner eine Verdrahtungsschicht (z. B. 402) zwischen dem ersten IC-Die und dem zweiten IC-Die umfasst, wobei die Verdrahtungsschicht die leitfähigen Bahnen umfasst.
  • Beispiel 12 stellt die mikroelektronische Baugruppe nach einem der Beispiele 9-11 bereit, wobei die leitfähigen Bahnen mit mindestens einer Repeaterschaltung (z. B. 512) gekoppelt sind.
  • Beispiel 13 stellt die mikroelektronische Baugruppe nach einem der Beispiele 9-12 bereit, wobei die leitfähigen Bahnen mit mindestens einer Retimer-Flip-Flop-Schaltung (z. B. 512) gekoppelt sind.
  • Beispiel 14 stellt die mikroelektronische Baugruppe nach einem der Beispiele 1-13 bereit, die ferner ein Dielektrikum (z. B. 302) umfasst, das mindestens eines von Folgendem umgibt: den ersten IC-Die und den zweiten IC-Die.
  • Beispiel 15 stellt die mikroelektronische Baugruppe nach Anspruch 14 bereit, wobei das Dielektrikum eine Verbindung aus Silicium und mindestens einem von Folgendem umfasst: Sauerstoff, Kohlenstoff und Stickstoff.
  • Beispiel 16 stellt die mikroelektronische Baugruppe nach einem der Beispiele 14-15 bereit, die ferner TDVs in dem Dielektrikum umfasst.
  • Beispiel 17 stellt die mikroelektronische Baugruppe nach einem der Beispiele 1-16 bereit, wobei der erste IC-Die TSVs (z. B. 214, 216) umfasst, um die E/A-Schaltung elektrisch mit der Mehrzahl von IC-Dies zu koppeln.
  • Beispiel 18 stellt die mikroelektronische Baugruppe nach einem der Beispiele 1-17 bereit, wobei (z. B. 7): die Zwischenverbindungen erste Zwischenverbindungen umfassen und die mikroelektronische Baugruppe ferner Schichten (z. B. 702, 704, 706) mit IC-Dies in den Schichten umfasst, wobei angrenzende Schichten mit zweiten Zwischenverbindungen gekoppelt sind, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der zweiten Zwischenverbindungen aufweisen.
  • Beispiel 19 stellt die mikroelektronische Baugruppe nach einem der Beispiele 1-18 bereit, wobei: die Zwischenverbindungen (z. B. 212) erste Zwischenverbindungen umfassen und die mikroelektronische Baugruppe ferner ein Gehäusesubstrat (z. B. 102) umfasst, das mit dem ersten IC-Die mit zweiten Zwischenverbindungen (z. B. 218) gekoppelt ist, die ein Rastermaß von mehr als 10 Mikrometer zwischen angrenzenden der zweiten Zwischenverbindungen aufweisen.
  • Beispiel 20 stellt die mikroelektronische Baugruppe nach Anspruch 19 bereit, wobei (z. B. 6): der erste IC-Die ein erstes Substrat (z. B. 206) und einen ersten Metallisierungsstapel (z. B. 208) parallel und angrenzend an das erste Substrat umfasst, wobei der erste Metallisierungsstapel leitfähige Bahnen in einem ILD umfasst, wobei der zweite IC-Die ein zweites Substrat (z. B. 202) und einen zweiten Metallisierungsstapel (z. B. 204) parallel und angrenzend an das zweite Substrat umfasst, wobei der zweite Metallisierungsstapel leitfähige Bahnen in einem ILD umfasst, wobei der erste Metallisierungsstapel des ersten IC-Die an den zweiten Metallisierungsstapel des zweiten IC-Die angrenzt, wobei der erste Metallisierungsstapel mit dem zweiten Metallisierungsstapel mit den Zwischenverbindungen gekoppelt ist, und wobei der erste IC-Die ferner TSVs (z. B. 214, 216) in dem ersten Substrat umfasst, um den ersten Metallisierungsstapel mit dem Gehäusesubstrat elektrisch zu koppeln.
  • Beispiel 21 stellt ein IC-Gehäuse bereit, das Folgendes umfasst: einen ersten IC-Die, der eine E/A-Schaltung an einer Peripherie des ersten IC-Die umfasst; einen zweiten IC-Die, der eine Mikrosteuerungsschaltung zum Steuern der E/A-Schaltung umfasst; und ein Gehäusesubstrat, das mit dem ersten IC-Die gekoppelt ist, wobei: sich der erste IC-Die zwischen dem zweiten IC-Die und dem Gehäusesubstrat befindet, der zweite IC-Die an die E/A-Schaltung des ersten IC-Die angrenzt, der erste IC-Die und der zweite IC-Die mit ersten Zwischenverbindungen gekoppelt sind, die ein erstes Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der ersten Zwischenverbindungen aufweisen, und der erste IC-Die und das Gehäusesubstrat mit zweiten Zwischenverbindungen gekoppelt sind, die ein zweites Rastermaß von mehr als 10 Mikrometer zwischen angrenzenden der zweiten Zwischenverbindungen aufweisen.
  • Beispiel 22 stellt das IC-Gehäuse nach Anspruch 21 bereit, wobei der zweite IC-Die eine Grundfläche aufweist, die einer Grenze der E/A-Schaltung entspricht.
  • Beispiel 23 stellt das IC-Gehäuse nach einem der Beispiele 21-22 bereit, wobei: der erste IC-Die ein erstes Substrat und einen ersten Metallisierungsstapel parallel und angrenzend an das erste Substrat umfasst, wobei der erste Metallisierungsstapel leitfähige Bahnen in einem ILD umfasst, wobei der zweite IC-Die ein zweites Substrat und einen zweiten Metallisierungsstapel parallel und angrenzend an das zweite Substrat umfasst, wobei der zweite Metallisierungsstapel leitfähige Bahnen in einem ILD umfasst, wobei die E/A-Schaltung und die Mikrosteuerungsschaltung durch leitfähige Pfade durch die leitfähigen Bahnen in dem ersten Metallisierungsstapel des ersten IC-Die, die ersten Zwischenverbindungen und die leitfähigen Bahnen in dem zweiten Metallisierungsstapel des zweiten IC-Die elektrisch gekoppelt sind.
  • Beispiel 24 stellt das IC-Gehäuse nach Anspruch 23 bereit, wobei die leitfähigen Pfade ferner TSVs in mindestens einem von Folgendem umfassen: dem ersten IC-Die und dem zweiten IC-Die.
  • Beispiel 25 stellt das IC-Gehäuse nach Anspruch 24 bereit, wobei die TSVs eine erste TSV für Daten und eine zweite TSV für Leistung umfassen, wobei die zweite TSV eine größere Querschnittsabmessung als die erste TSV aufweist.
  • Beispiel 26 stellt das IC-Gehäuse nach einem der Beispiele 24-25 bereit, wobei eine Querschnittsabmessung der TSVs proportional zu dem ersten Rastermaß ist, wobei ein größeres erstes Rastermaß einer größeren Querschnittsabmessung als ein kleineres erstes Rastermaß entspricht.
  • Beispiel 27 stellt das IC-Gehäuse nach einem der Beispiele 24-26 bereit, wobei ein drittes Rastermaß der TSVs gleich dem ersten Rastermaß ist.
  • Beispiel 28 stellt das IC-Gehäuse nach einem der Beispiele 24-27 bereit, wobei der mindestens eine des ersten IC-Die und des zweiten IC-Die, die die TSVs umfassen, eine Dicke zwischen 10 Mikrometer und 50 Mikrometer aufweist.
  • Beispiel 29 stellt das IC-Gehäuse nach einem der Beispiele 23-28 bereit, wobei die leitfähigen Pfade Datenkanäle umfassen, die zwischen der Mikrosteuerungsschaltung und Datenregistern in der E/A-Schaltung gekoppelt sind.
  • Beispiel 30 stellt das IC-Gehäuse nach einem der Beispiele 23-29 bereit, wobei die leitfähigen Pfade mit mindestens einem von Folgenden gekoppelt sind: einer Repeaterschaltung und einer Retimer-Flip-Flop-Schaltung.
  • Beispiel 31 stellt das IC-Gehäuse nach einem der Beispiele 21-30 bereit, das ferner eine dritte IC umfasst, die lateral an den zweiten IC-Die angrenzt und mit dem ersten IC-Die mit Zwischenverbindungen gekoppelt ist, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen, wobei der dritte IC-Die mindestens eines von Folgendem umfasst: eine ESD-Diode, einen Induktor, einen Kondensator, einen Widerstand und eine Schaltung für Taktsignale, die mit der E/A-Schaltung kommuniziert werden.
  • Beispiel 32 stellt das IC-Gehäuse nach einem der Beispiele 21-31 bereit, wobei die E/A-Schaltung eine analoge physische (PHY) Schaltungsanordnung umfasst und die Mikrosteuerungsschaltung eine digitale Schaltungsanordnung umfasst.
  • Beispiel 33 stellt das IC-Gehäuse nach einem der Beispiele 21-32 bereit, wobei Transistoren in dem zweiten IC-Die kleiner als Transistoren in dem ersten IC-Die sind.
  • Beispiel 34 stellt das IC-Gehäuse nach einem der Beispiele 21-33 bereit, wobei (z. B. 7): sich der erste IC-Die in einer ersten Schicht befindet, sich der zweite IC-Die in einer zweiten Schicht befindet und das IC-Gehäuse ferner eine dritte Schicht von IC-Dies umfasst, wobei: sich die zweite Schicht zwischen der ersten Schicht und der dritten Schicht befindet, die dritte Schicht mit der zweiten Schicht mit dritten Zwischenverbindungen gekoppelt ist, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der dritten Zwischenverbindungen aufweisen.
  • Beispiel 35 stellt das IC-Gehäuse nach einem der Beispiele 21-34 bereit, wobei die zweite Schicht ein Dielektrikum umfasst, das den zweiten IC-Die umgibt, wobei das Dielektrikum eine Verbindung aus Silicium und mindestens einem von Folgendem umfasst: Sauerstoff, Kohlenstoff und Stickstoff.
  • Beispiel 36 stellt eine IC bereit, die Folgendes umfasst (z. B. 5, 7): eine PHY-E/A-Schnittstelle (z. B. 106), die ein Datenregister (z. B. 502) umfasst; und eine Mikrosteuerungsschaltung, die mit dem Datenregister leitfähig gekoppelt ist, wobei: sich die PHY-E/A-Schnittstelle in einem ersten IC-Die befindet, sich die Mikrosteuerungsschaltung in einem zweiten IC-Die befindet und der erste IC-Die und der zweite IC-Die durch Zwischenverbindungen mit einem Rastermaß von weniger als 10 Mikrometer gekoppelt sind.
  • Beispiel 37 stellt die IC nach Anspruch 36 bereit, wobei die PHY-E/A-Schnittstelle ferner Folgendes umfasst (z. B. 5): eine Befehlsadressen- und Steuerschaltung (z. B. 504); eine Taktschaltung (z. B. 506); und eine Kompensatorschaltung (z. B. 508).
  • Beispiel 38 stellt die IC eines der Beispiele 36-37 bereit, wobei ein leitfähiger Pfad (z. B. 510) zwischen der Mikrosteuerungsschaltung und dem Datenregister mit einer Retimer-Flip-Flop-Schaltung (z. B. 512) gekoppelt ist.
  • Beispiel 39 stellt die IC nach einem der Beispiele 36-38 bereit, wobei ein leitfähiger Pfad zwischen der Mikrosteuerungsschaltung und dem Datenregister mit einer Repeaterschaltung (z. B. 512) gekoppelt ist.
  • Beispiel 40 stellt die IC nach einem der Beispiele 36-39 bereit, wobei sich der zweite IC-Die innerhalb einer Grundfläche der PHY-E/A-Schnittstelle befindet.
  • Beispiel 41 stellt die IC eines beliebigen der Beispiele 36-40 bereit, wobei sich ein erster Teil eines leitfähigen Pfads zwischen der Mikrosteuerungsschaltung und dem Datenregister in dem ersten IC-Die befindet und sich ein zweiter Teil des leitfähigen Pfads in dem zweiten IC-Die befindet.
  • Beispiel 42 stellt die IC nach Anspruch 41 bereit, wobei sich ein dritter Teil des leitfähigen Pfads in einer Verdrahtungsschicht zwischen dem ersten IC-Die und dem zweiten IC-Die befindet.
  • Beispiel 43 stellt die IC nach einem der Beispiele 36-42 bereit, wobei Transistoren in der PHY-E/A-Schnittstelle in dem ersten IC-Die größer als Transistoren in der Mikrosteuerungsschaltung in dem zweiten IC-Die sind.
  • Beispiel 44 stellt die IC nach einem der Beispiele 36-43 bereit, die ferner passive Komponenten in einem dritten IC-Die und ESD-Dioden in einem vierten IC-Die umfasst, wobei der dritte IC-Die und der vierte IC-Die mit dem ersten IC-Die mit Zwischenverbindungen gekoppelt sind, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen.
  • Beispiel 45 stellt die IC nach Anspruch 44 bereit, die ferner einen IP-Kern (z. B. 108) in einem dritten IC-Die (z. B. 708) in einer ersten Schicht (z. B. 702) umfasst, wobei (z. B. 7): der IP-Kern mit der PHY-E/A-Schnittstelle in dem ersten IC-Die leitfähig gekoppelt ist, sich der erste IC-Die in einer zweiten Schicht (z. B. 704) zwischen der ersten Schicht und einer dritten Schicht (z. B. 706) befindet, sich der zweite IC-Die in der dritten Schicht befindet, der dritte IC-Die mit dem ersten IC-Die mit Zwischenverbindungen (z. B. 712) gekoppelt ist, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen, und mindestens die erste Schicht und die zweite Schicht TDVs (z. B. 710) in einem Dielektrikum (z. B. 302) umfassen.
  • Die obige Beschreibung veranschaulichter Implementierungen der Offenbarung, einschließlich dessen, was in der Zusammenfassung beschrieben ist, soll nicht erschöpfend sein oder die Offenbarung auf genau die offenbarten Formen beschränken. Obgleich spezielle Implementierungen der Offenbarung und Beispiele dafür hierin zu veranschaulichenden Zwecken beschrieben sind, sind verschiedene äquivalente Modifikationen innerhalb des Schutzumfangs der Offenbarung möglich, wie Fachleute auf dem betreffenden Gebiet erkennen werden.

Claims (25)

  1. Mikroelektronische Baugruppe, umfassend: einen ersten integrierten Schaltungs(IC)-Die, wobei der erste IC-Die eine Eingabe-/Ausgabe(E/A)-Schaltung umfasst; und eine Mehrzahl von IC-Dies, wobei die Mehrzahl von IC-Dies einen zweiten IC-Die umfasst, wobei der zweite IC-Die eine Mikrosteuerungsschaltung zum Steuern der E/A-Schaltung umfasst, wobei der erste IC-Die und die Mehrzahl von IC-Dies mit Zwischenverbindungen gekoppelt sind, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen.
  2. Mikroelektronische Baugruppe nach Anspruch 1, wobei die mikroelektronische Baugruppe ferner einen dritten IC-Die in der Mehrzahl von IC-Dies umfasst, wobei der dritte IC-Die passive Komponenten umfasst, die in einen Metallisierungsstapel des dritten IC-Die integriert sind.
  3. Mikroelektronische Baugruppe nach einem der Ansprüche 1-2, wobei sich die E/A-Schaltung in der Nähe einer Peripherie des ersten IC-Die befindet.
  4. Mikroelektronische Baugruppe nach einem der Ansprüche 1-3, die ferner leitfähige Bahnen zwischen der E/A-Schaltung und der Mehrzahl von IC-Dies umfasst.
  5. Mikroelektronische Baugruppe nach Anspruch 4, wobei sich ein Teil der leitfähigen Bahnen in dem ersten IC-Die befindet und sich ein anderer Teil der leitfähigen Bahnen in dem zweiten IC-Die befindet.
  6. Mikroelektronische Baugruppe nach Anspruch 4, die ferner eine Verdrahtungsschicht zwischen dem ersten IC-Die und dem zweiten IC-Die umfasst, wobei die Verdrahtungsschicht die leitfähigen Bahnen umfasst.
  7. Mikroelektronische Baugruppe nach einem der Ansprüche 1-6, die ferner ein Dielektrikum umfasst, das mindestens eines von Folgendem umgibt: den ersten IC-Die und den zweiten IC-Die.
  8. Mikroelektronische Baugruppe nach Anspruch 7, wobei das Dielektrikum eine Verbindung aus Silicium und mindestens einem von Folgendem umfasst: Sauerstoff, Kohlenstoff und Stickstoff.
  9. Mikroelektronische Baugruppe nach einem der Ansprüche 7-8, die ferner Dielektrikum-Durchkontaktierungen (TDVs) in dem Dielektrikum umfasst.
  10. Mikroelektronische Baugruppe nach einem der Ansprüche 1-9, wobei: die Zwischenverbindungen erste Zwischenverbindungen umfassen, und die mikroelektronische Baugruppe ferner Schichten mit IC-Dies in den Schichten umfasst, wobei angrenzende Schichten mit zweiten Zwischenverbindungen gekoppelt sind, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der zweiten Zwischenverbindungen aufweisen.
  11. IC-Gehäuse in der mikroelektronischen Baugruppe nach einem der vorhergehenden Ansprüche, wobei das IC-Gehäuse Folgendes umfasst: einen ersten IC-Die, der eine E/A-Schaltung an einer Peripherie des ersten IC-Die umfasst; einen zweiten IC-Die, der eine Mikrosteuerungsschaltung zum Steuern der E/A-Schaltung umfasst; und ein Gehäusesubstrat, das mit dem ersten IC-Die gekoppelt ist, wobei: sich der erste IC-Die zwischen dem zweiten IC-Die und dem Gehäusesubstrat befindet, der zweite IC-Die an die E/A-Schaltung des ersten IC-Die angrenzt, der erste IC-Die und der zweite IC-Die mit ersten Zwischenverbindungen gekoppelt sind, die ein erstes Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der ersten Zwischenverbindungen aufweisen, und der erste IC-Die und das Gehäusesubstrat mit zweiten Zwischenverbindungen gekoppelt sind, die ein zweites Rastermaß von mehr als 10 Mikrometer zwischen angrenzenden der zweiten Zwischenverbindungen aufweisen.
  12. IC-Gehäuse nach Anspruch 11, wobei der zweite IC-Die eine Grundfläche aufweist, die einer Grenze der E/A-Schaltung entspricht.
  13. IC-Gehäuse nach einem der Ansprüche 11-12, wobei: der erste IC-Die ein erstes Substrat und einen ersten Metallisierungsstapel parallel und angrenzend an das erste Substrat umfasst, wobei der erste Metallisierungsstapel leitfähige Bahnen in einem ILD umfasst, der zweite IC-Die ein zweites Substrat und einen zweiten Metallisierungsstapel parallel und angrenzend an das zweite Substrat umfasst, wobei der zweite Metallisierungsstapel leitfähige Bahnen in einem ILD umfasst, die E/A-Schaltung und die Mikrosteuerungsschaltung durch leitfähige Pfade durch die leitfähigen Bahnen in dem ersten Metallisierungsstapel des ersten IC-Die, die ersten Zwischenverbindungen und die leitfähigen Bahnen in dem zweiten Metallisierungsstapel des zweiten IC-Die elektrisch gekoppelt sind.
  14. IC-Gehäuse nach Anspruch 13, wobei die leitfähigen Pfade ferner TSVs in mindestens einem von Folgendem umfassen: dem ersten IC-Die und dem zweiten IC-Die.
  15. IC-Gehäuse nach Anspruch 14, wobei die TSVs eine erste TSV für Daten und eine zweite TSV für Leistung umfassen, wobei die zweite TSV eine größere Querschnittsabmessung als die erste TSV aufweist.
  16. IC-Gehäuse nach einem der Ansprüche 11-15, das ferner eine dritte IC umfasst, die lateral an den zweiten IC-Die angrenzt und mit dem ersten IC-Die mit Zwischenverbindungen gekoppelt ist, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen, wobei der dritte IC-Die mindestens eines von Folgendem umfasst: eine ESD-Diode, einen Induktor, einen Kondensator, einen Widerstand und eine Schaltung für Taktsignale, die mit der E/A-Schaltung kommuniziert werden.
  17. IC-Gehäuse nach einem der Ansprüche 11-16, wobei: sich der erste IC-Die in einer ersten Schicht befindet, sich der zweite IC-Die in einer zweiten Schicht befindet, und das IC-Gehäuse ferner eine dritte Schicht von IC-Dies umfasst, wobei: sich die zweite Schicht zwischen der ersten Schicht und der dritten Schicht befindet, die dritte Schicht mit der zweiten Schicht mit dritten Zwischenverbindungen gekoppelt ist, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der dritten Zwischenverbindungen aufweisen.
  18. IC in der mikroelektronischen Baugruppe nach einem der vorhergehenden Ansprüche, wobei die IC Folgendes umfasst: eine PHY-E/A-Schnittstelle, die ein Datenregister umfasst; und eine Mikrosteuerungsschaltung, die mit dem Datenregister leitfähig gekoppelt ist, wobei: sich die PHY-E/A-Schnittstelle in einem ersten IC-Die befindet, sich die Mikrosteuerungsschaltung in einem zweiten IC-Die befindet, und der erste IC-Die und der zweite IC-Die durch Zwischenverbindungen gekoppelt sind, die ein Rastermaß von weniger als 10 Mikrometer aufweisen.
  19. IC nach Anspruch 18, wobei die PHY-E/A-Schnittstelle ferner Folgendes umfasst: eine Befehlsadressen- und Steuerschaltung; eine Taktschaltung; und eine Kompensatorschaltung.
  20. IC nach einem der Ansprüche 18-19, wobei ein leitfähiger Pfad zwischen der Mikrosteuerungsschaltung und dem Datenregister mit einer Retimer-Flip-Flop-Schaltung und einer Repeaterschaltung gekoppelt ist.
  21. IC nach einem der Ansprüche 18-20, wobei sich der zweite IC-Die innerhalb einer Grundfläche der PHY-E/A-Schnittstelle befindet.
  22. IC nach einem der Ansprüche 18-21, wobei sich ein erster Teil eines leitfähigen Pfads zwischen der Mikrosteuerungsschaltung und dem Datenregister in dem ersten IC-Die befindet und sich ein zweiter Teil des leitfähigen Pfads in dem zweiten IC-Die befindet.
  23. IC nach Anspruch 22, wobei sich ein dritter Teil des leitfähigen Pfads in einer Verdrahtungsschicht zwischen dem ersten IC-Die und dem zweiten IC-Die befindet.
  24. IC nach einem der Ansprüche 18-23, die ferner passive Komponenten in einem dritten IC-Die und ESD-Dioden in einem vierten IC-Die umfasst, wobei der dritte IC-Die und der vierte IC-Die mit dem ersten IC-Die mit Zwischenverbindungen gekoppelt sind, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen.
  25. IC nach Anspruch 24, die ferner einen Intellectual-Property(IP)-Kern in einem dritten IC-Die in einer ersten Schicht umfasst, wobei: der IP-Kern mit der PHY-E/A-Schnittstelle in dem ersten IC-Die leitfähig gekoppelt ist, sich der erste IC-Die in einer zweiten Schicht zwischen der ersten Schicht und einer dritten Schicht befindet, sich der zweite IC-Die in der dritten Schicht befindet, der dritte IC-Die mit dem ersten IC-Die mit Zwischenverbindungen gekoppelt ist, die ein Rastermaß von weniger als 10 Mikrometer zwischen angrenzenden der Zwischenverbindungen aufweisen, und mindestens die erste Schicht und die zweite Schicht TDVs in einem Dielektrikum umfassen.
DE102022128596.0A 2021-12-16 2022-10-27 Packagingarchitektur mit integrierten schaltungs-dies über eingabe-/ausgabe-schnittstellen Pending DE102022128596A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/552,845 US20230197675A1 (en) 2021-12-16 2021-12-16 Packaging architecture with integrated circuit dies over input/output interfaces
US17/552,845 2021-12-16

Publications (1)

Publication Number Publication Date
DE102022128596A1 true DE102022128596A1 (de) 2023-06-22

Family

ID=86606192

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022128596.0A Pending DE102022128596A1 (de) 2021-12-16 2022-10-27 Packagingarchitektur mit integrierten schaltungs-dies über eingabe-/ausgabe-schnittstellen

Country Status (3)

Country Link
US (1) US20230197675A1 (de)
CN (1) CN116266588A (de)
DE (1) DE102022128596A1 (de)

Also Published As

Publication number Publication date
US20230197675A1 (en) 2023-06-22
CN116266588A (zh) 2023-06-20

Similar Documents

Publication Publication Date Title
DE112016007304T5 (de) Eingebetteter die in interposer-gehäusen
DE102020132231A1 (de) Mikroelektronisches bauteil, das geformte bereiche mit through-mold-vias aufweist
DE102020112887A1 (de) Substratlose, doppelseitige, eingebettete multi-die-verbindungsbrücke
DE112017008336T5 (de) Mikroelektronische Anordnungen
DE112017008326T5 (de) Mikroelektronische Anordnungen
DE112019003199T5 (de) Mikroelektronische anordnungen umfassend interposer
DE112016006809T5 (de) Integrierte schaltungsstrukturen mit erweiterten leitungswegen
DE112017008327T5 (de) Mikroelektronische anordnungen
DE112017008313T5 (de) Mikroelektronische anordnungen
DE112019000890T5 (de) Antennenmodule und Kommunikationsvorrichtungen
DE102020123485A1 (de) VERBUND-IC-CHIPS EINSCHLIEßLICH EINES EINGEBETTETEN CHIPLETS INNERHALBMETALLISIERUNGSSCHICHTEN EINES HOST-IC-CHIPS
DE102021132253A1 (de) Hybride Herstellung für integrierte Schaltkreisvorrichtungen und -baugruppen
DE112022001663T5 (de) Verschachtelte Glasgehäusearchitektur für hybride elektrische und optische Kommunikationsvorrichtungen
DE102020129665A1 (de) Dreidimensionale nanobandbasierte Logik
DE112022001616T5 (de) Mikroelektronische baugruppen mit rückseitigen die-zu-gehäuse-zwischenverbindungen
DE102022105027A1 (de) Mikroelektronische baugruppen mit integrierten magnetkerninduktivitäten
DE102020117968A1 (de) Brücke für radiofrequenz- (rf) multi-chip-module
DE102022129664A1 (de) Packaging architecture with patterned through-dielectric vias and redistribution layers
DE102022133617A1 (de) Ein verbundgehäuse für ic-dies, das einen elektrothermomechanischen die (etmd) mit substratdurchkontaktierungen beinhaltet
US20230163098A1 (en) Power delivery through capacitor-dies in a multi-layered microelectronic assembly
DE102022126794A1 (de) Disaggregierte entropiedienste für mikroelektronische baugruppen
DE102022128596A1 (de) Packagingarchitektur mit integrierten schaltungs-dies über eingabe-/ausgabe-schnittstellen
DE102022101224A1 (de) Mikroelektronische baugruppen einschliesslich brücken
DE112021006588T5 (de) Mikroelektronische strukturen umfassend brücken
DE102022131939A1 (de) Quasi-monolithische integrierte packaging-architektur mit serializer/deserializer mit mittig angeordnetem rohchip