DE102017202945A1 - Verfahren und Vorrichtung zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Bereich von einer ersten Umgebung in eine zweite Umgebung - Google Patents

Verfahren und Vorrichtung zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Bereich von einer ersten Umgebung in eine zweite Umgebung Download PDF

Info

Publication number
DE102017202945A1
DE102017202945A1 DE102017202945.5A DE102017202945A DE102017202945A1 DE 102017202945 A1 DE102017202945 A1 DE 102017202945A1 DE 102017202945 A DE102017202945 A DE 102017202945A DE 102017202945 A1 DE102017202945 A1 DE 102017202945A1
Authority
DE
Germany
Prior art keywords
environment
mask
determining
photolithographic mask
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017202945.5A
Other languages
English (en)
Inventor
Carola Bläsing-Bangert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102017202945.5A priority Critical patent/DE102017202945A1/de
Priority to CN201880013737.3A priority patent/CN110325909B/zh
Priority to PCT/EP2018/052883 priority patent/WO2018153654A1/en
Priority to KR1020197027826A priority patent/KR102277996B1/ko
Priority to TW107105978A priority patent/TWI705295B/zh
Publication of DE102017202945A1 publication Critical patent/DE102017202945A1/de
Priority to US16/547,662 priority patent/US11243464B2/en
Granted legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Die vorliegende Erfindung betrifft ein Verfahren zum Transformieren von Messdaten (640) einer photolithographischen Maske (300) für den extrem ultravioletten (EUV)-Wellenlängenbereich von einer ersten Umgebung (150) in eine zweite Umgebung. Das Verfahren weist die Schritte auf: (a) Bestimmen der Messdaten (640) für die photolithographische Maske (300) in der ersten Umgebung (150), wobei die Messdaten (640) durch Auswirkungen innerer Spannungen auf die photolithographische Maske (300) beeinflusst sind; (b) Ermitteln zumindest einer Änderung (390, 450, 550) der Messdaten (640) beim Übergang von der ersten Umgebung (150) in die zweite Umgebung (350), in der die Auswirkungen der inneren Spannungen auf die photolithographische Maske (300) zumindest teilweise kompensiert werden; und (c) Korrigieren der in Schritt (a) bestimmten Messdaten (640) mit der in Schritt (b) ermittelten zumindest einen Änderung (390, 450, 550) der Messdaten (640).

Description

  • Technisches Gebiet
  • Die vorliegende Erfindung betrifft ein Verfahren und eine Vorrichtung zum Transformieren von Messdaten einer photolithographischen Maske für einen extrem ultravioletten (EUV)-Wellenlängenbereich von einer ersten Umgebung in eine zweite Umgebung.
  • Stand der Technik
  • Als Folge der wachsenden Integrationsdichte in der Halbleiterindustrie müssen Photolithographiemasken zunehmend kleinere Strukturen auf Wafer abbilden. Um diesem Trend Rechnung zu tragen, wird die Belichtungswellenlänge von Lithographianlagen zu immer kleineren Wellenlängen verschoben. Zukünftige Lithographiesysteme werden wahrscheinlich mit Wellenlängen im extrem ultravioletten (EUV)-Bereich arbeiten (vorzugsweise aber nicht notwendigerweise im Bereich von 6 nm bis 15 nm). Der EUV-Wellenlängenbereich stellt enorme Anforderungen an die Präzision optischer Elemente im Strahlengang der zukünftigen Lithographiesysteme. Die optischen Elemente und damit auch die photolithographischen Masken werden aller Vorrausicht nach reflektierende optische Elemente sein.
  • EUV-Masken weisen ein Substrat mit geringer thermischer Ausdehnung auf. Auf das Substrat wird eine Mehrschichtstruktur (englisch Multilayer) aus beispielsweise etwa 20 bis etwa 80 Doppelschichten aus Silizium (Si) und Molybdän (Mo) oder anderen geeigneten Materialien aufgebracht, die als dielektrischer Spiegel wirken. Die europäische Patentschrift EP 1829 052 B1 offenbart ein mögliches Ausführungsbeispiel eines solchen reflektierenden Mehrschichtsystems für den EUV-Wellenlängenbereich.
  • EUV-Photolithographiemasken oder einfach EUV-Masken weisen auf der Mehrschichtstruktur zusätzlich eine Absorberstruktur aus absorbierenden und/oder phasenschiebenden Pattern-Elementen auf. In den Bereichen der EUV-Maske, die mit Pattern-Elementen der Absorberstruktur und/oder der phasenschiebenden Struktur bedeckt sind, werden einfallende EUV-Photonen absorbiert und/oder in ihrer Phase um einen vorgegebenen Betrag verzögert.
  • EUV-Masken - oder allgemein Photomasken - sind Projektionsvorlagen deren wichtigste Anwendung die Photolithographie zur Herstellung von Halbleiterbauelementen, insbesondere von integrierten Schaltungen, ist. Photomasken müssen weitestgehend fehlerfrei sein, da sich ein Fehler der Maske bei jeder Belichtung auf jedem Wafer reproduzieren würde. Deshalb werden an die Materialien der optischen Elemente für den EUV-Lithographiebereich, insbesondere die Photomasken, höchste Anforderungen hinsichtlich der Planarität, der Reinheit, der Temperaturstabilität, der Reflexionskonstanz und der Fehlerfreiheit gestellt.
  • Bei einer Photomaske ist es wichtig, dass die Pattern-Elemente der Absorberstruktur auf der Photomaske exakt die vom Design des Halbleiterbauelements vorgegebenen Strukturelemente in den Photolack oder Photoresist auf einem Wafer abbilden.
  • Der Artikel „Improvement of defects and flatness on extreme ultraviolet mask blanks", von T. Shoki et al., J. Micro/Nanolith. MEMS MOEMS 12(2), 021008-1 - 021008-6 (Apr-Jun 2014) beschreibt Fortschritte in dem Polierprozess für Substrate für EUV-Masken und beim Nachweisen von lokalen Defekten von EUV-Substraten und EUV-Maskenrohlingen.
  • Photolithographische Masken für den EUV-Wellenlängenbereich weisen bedingt durch ihren Schichtaufbau innere Spannungen auf. Dabei liefert typischerweise die Mehrschichtstruktur den größten Einzelbeitrag zu einer inneren Verspannung. Die innere Verspannung einer EUV-Maske führt zu einer Krümmung von deren Oberfläche. Normalerweise führt die innere Verspannung einer EUV-Maske zu einer konvexen Oberfläche der Maskenoberseite, d.h. der Oberfläche auf der die Mehrschichtstruktur angeordnet ist.
  • Nachfolgend sind beispielhaft einige Publikationen aufgeführt, die sich mit inneren Spannungen von EUV-Masken bzw. von deren Auswirkungen auf das Betriebsverhalten von EUV-Masken beschäftigen: „Thin-absorber extreme-ultraviolet lithography mask with light-shield border for full-field scanner: flatness and image placement change through mask process“ der Autoren T. Kamo et al., J. Micro/Nanolith. MEMS MOEMS, Vol. 9(2), 023005-1 - 023005-10 (Apr.-Jun. 2010); „Light-shield border impact on the printability of extreme-ultraviolet mask", der Autoren T. Kamo et al., J. Micro/Nanolith. MEMS MOEMS, Vol. 10(2) 023001-1 - 023001-9; „Determination of mask layer stress by placement metrology", J. Butschke et al., 25th BACUS Symposium on Photomask Technology, Vol. 5992, 59923U, CA, USA, Nov. 8, 2005, S. 1127-1138; „EUV mask image placement - a numerical study", E. Cotte et al., EMLC 2005 (European Mask and Lithography Conference)-Beitrag, 31. Jan. - 3. Feb. 2005, Dresden; „Error analysis of overlay compensation methodologies and proposed functional tolerances for EUV photomask flatness", K. Ballmann et al., Photomask Japan 2016, Proc. of SPIE, Vol. 9984, 99840S.
  • Die deutsche Patentanmeldung DE 10 2016 204 535.0 beschreibt ein Verfahren zur Kalibrierung eines Messmikroskops, das eine Kalibriermaske und einen Selbstkalibrierungsalgorithmus einsetzt, um Fehlerkorrekturdaten des Messmikroskops zu ermitteln, die zur Fehlerkorrektur einer photolithographischen Maske eingesetzt werden.
  • In dem Artikel „Overview of IP error compensation techniques for EUVL“, 26th European Mask and Lithography Conference, Proc. of SPIE, Vol. 7545, 75404-1 - 75404-11, beschreiben die Autoren P. Vukkadala et al., Simulationen zur Verspannung von EUV-Substraten während eines EUV-Maskenherstellungsprozesses und zur Änderung der Verspannung beim Aufbringen einer EUV-Maske auf eine elektrostatische Ansaugvorrichtung (englisch: electrostatic chuck).
  • Derzeit wird die Platzierung der Pattern-Elemente herkömmlicher transmissiver Photomasken vermessen, indem die erzeugten Photomasken optisch vermessen werden. Dabei werden die transmissiven Photomasken auf drei Halbkugeln gelagert und durch die Gravitationswirkung in Position gehalten. Die Durchbiegung der neu erzeugten Masken, die durch ihr Eigengewicht verursacht wird, wird mit Hilfe einer Finite-Elemente-Simulation ermittelt und die durch die Durchbiegung der transmissiven Maske verursachten Platzierungsfehler der Pattern-Elemente der Absorberstruktur werden rechnerisch korrigiert.
  • Die Übertragung des im letzten Abschnitt erläuterten Prozesses zur Analyse von photolithographischen Masken für den EUV-Wellenlängenbereich stößt aufgrund der inneren Verspannungen dieser Photomasken auf Schwierigkeiten. Überdies sind - wie oben ausgeführt - die Anforderungen an die Platzierungsgenauigkeit von Pattern-Elementen, deren aktinische Wellenlänge im EUV-Wellenlängenbereich liegt, besonders hoch.
  • Der vorliegenden Erfindung liegt daher das Problem zu Grunde, ein Verfahren und Vorrichtungen anzugeben, die die oben beschriebenen Schwierigkeiten zumindest zum Teil beseitigen.
  • Zusammenfassung der Erfindung
  • Gemäß einem Aspekt der vorliegenden Erfindung wird dieses Problem durch ein Verfahren nach Anspruch 1 gelöst. In einer Ausführungsform weist das Verfahren zum Transformieren von Messdaten einer photolithographischen Maske für den extrem ultravioletten (EUV)-Wellenlängenbereich von einer ersten Umgebung in eine zweite Umgebung die Schritte auf: (a) Bestimmen der Messdaten für die photolithographische Maske in der ersten Umgebung, wobei die Messdaten durch Auswirkungen innerer Spannungen auf die photolithographische Maske beeinflusst sind; (b) Ermitteln zumindest einer Änderung der Messdaten beim Übergang von der ersten Umgebung in die zweite Umgebung, in der die Auswirkungen der inneren Spannungen auf die photolithographische Maske zumindest teilweise kompensiert werden; und (c) Korrigieren der in Schritt (a) bestimmten Messdaten mit der in Schritt (b) ermittelten zumindest einen Änderung der Messdaten.
  • Ein erfindungsgemäßes Verfahren erlaubt es, EUV-Masken in einer ersten Umgebung zu vermessen und deren Betriebsverhalten in einer zweiten Umgebung vorherzusagen. Dabei können sich die erste Umgebung und die zweite Umgebung in ihren Umweltbedingungen unterscheiden, wie etwa der Temperatur und/oder dem Umgebungsdruck. Ferner kann die Belastung der EUV-Maske, beispielsweise deren Strahlenbelastung in der ersten und der zweiten Umgebung verschieden sein. Schließlich kann die Halterung, Lagerung oder Fixierung der EUV-Maske in der ersten Umgebung anders sein als in der zweiten Umgebung.
  • Die inneren Spannungen der photolithographischen Maske für den EUV-Wellenlängenbereich können zumindest teilweise durch einen Schichtaufbau der photolithographischen Maske erzeugt werden.
  • Demnach variieren die inneren Spannungen herstellungsbedingt von einer EUV-Maske zur nächsten. Innerhalb eines Maskentyps sind die Schwankungen der inneren Spannungen von Exemplar zu Exemplar jedoch gering, verglichen mit den inneren Spannungen verschiedener Maskentypen.
  • Die inneren Spannungen können eine Verspannung in einer Ebene der photolithographischen Maske umfassen, die zu mehr als 50% durch eine Mehrschichtstruktur der photolithographischen Maske verursacht wird.
  • Die inneren Spannungen einer EUV-Maske ändern deren Oberflächenebenheit. Dies führt zu einer Verschiebung der Pattern-Elemente auf der Oberfläche der EUV-Maske. Diese Verschiebung auf der Oberfläche der EUV-Maske wird im englischen Sprachgebrauch als In-Plane-Distortion (IPD) bezeichnet. Darüber hinaus führt die Abweichung der Maskenoberfläche von einer ebenen Fläche aufgrund des nicht senkrechten Lichteinfalls auf die EUV-Maske zu einem geänderten Auftreffpunkt eines Lichtstrahls auf die Maskenoberfläche und führt dadurch zu einem lateral verschobenen reflektierten Lichtstrahl. Für diesen Effekt wird im Fachgebiet der englische Begriff Out-of-Plane-Distortion (OPD) benutzt.
  • Das Bestimmen der Messdaten kann das Bestimmen von Koordinaten von Pattern-Elementen auf einer Oberfläche der photolithographischen Maske umfassen und/oder das Bestimmen der Messdaten kann das Bestimmen einer Oberflächenkontur der photolithographischen Maske umfassen.
  • Es ist bevorzugt, neben den Koordinaten der Pattern-Elemente auf der Oberfläche der photolithographischen Maske, d.h. der xy-Koordinaten, auch deren Höheninformation, d.h. die z-Koordinate, zu messen. Aus dem dreidimensionalen Messdatensatz kann dann die eine oder die mehreren Änderungen Messdaten beim Übergang von der ersten Umgebung in die zweite Umgebung ermittelt werden.
  • Das Bestimmen der Oberflächenkontur der photolithotraphischen Maske kann gleichzeitig mit dem Bestimmen der Koordinaten von Pattern-Elementen erfolgen oder das Bestimmen der Oberflächenkontur kann in einer getrennten Messung erfolgen. Das Bestimmen der Oberflächenkontur kann während eines Temperierungszeitraums der photolihtographischen Maske in der ersten Umgebung erfolgen.
  • Während einer Messung der Platzierung der Pattern-Elemente auf der Oberfläche einer EUV-Maske kann die Kontur der Oberfläche oder die Oberflächenkontur automatisch mitgemessen werden. Falls die Platzierungsmessung nicht genügend Messpunkte umfasst, um die Oberflächenkontur mit einer vorgegebenen Genauigkeit zu bestimmen, kann das Höhenprofil der EUV-Maske in einer separaten Messung beispielsweise mit einer Autofokus-Messung bestimmt werden. Da die separate Bestimmung des Höhenprofils beispielsweise während einer Temperierungsphase der EUV-Maske in der ersten Umgebung ausgeführt werden kann, führt diese Messung typischerweise nicht zu einer Verlängerung der Messzeit der EUV-Maske in der ersten Umgebung.
  • Die erste Umgebung kann eine Messumgebung umfassen, in der die photolithographische Maske durch eine Dreipunktlagerung fixiert ist.
  • In Messvorrichtungen zum Bestimmen der Platzierung der Pattern-Elemente herkömmlicher transmissiver Photomasken - wie auch bei der Herstellung der Pattern-Element - werden diese vorzugsweise in einer Dreipunktlagerung in Position gehalten. EUV-Masken können ohne Änderung der Messvorrichtung für eine transmissive Photomaske verwendet werden, falls dieses Fixierungskonzept auch für reflektive Photomasken eingesetzt werden kann.
  • Das Verfahren zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Wellenlängenbereich kann ferner den Schritt aufweisen: Bestimmen einer Gravitationswirkung der photolithographischen Maske durch eine Finite-Elemente-Simulation und Berücksichtigen der Gravitationswirkung beim Ermitteln der zumindest einen Änderung der Messdaten in Schritt b.
  • Zu einer Verformung einer EUV-Maske bei einer Dreipunktlagerung trägt neben den inneren Spannungen auch die Wirkung der Gravitation bei. Da sowohl die einzelnen Beiträge zur Verformung einer EUV-Maske als auch die Gesamtverformung innerhalb des linearen Verformungsbereichs einer EUV-Maske liegen, können die einzelnen Beiträge unabhängig voneinander bestimmt und in ihrer Wirkung berücksichtigt werden.
  • Die erste Umgebung kann eine Messumgebung umfassen, in der die photolithographische Maske durch eine Ansaugvorrichtung fixiert ist.
  • Die zweite Umgebung kann eine EUV-Lithographievorrichtung umfassen, in der in die photolithographische Maske durch eine Ansaugvorrichtung fixiert ist. Die Ansaugvorrichtung kann eine elektrostatische Ansaugvorrichtung oder eine Unterdruck-Ansaugvorrichtung umfassen.
  • In der Regel sind EUV-Lithographievorrichtungen - wie Lithographievorrichtungen allgemein - mit einer Halterung für die Photomasken in Form einer Ansaugvorrichtung (englisch: chuck) ausgestattet. Durch die unterschiedliche Halterung von EUV-Masken beim Messen der Pattern-Elemente und während des Betriebs der EUV-Maske treten aufgrund innerer Spannung in EUV-Masken und der unterschiedlichen Wirkung der Gravitation in den verschiedenen Masken-Halterungen in den Messdaten, die in der ersten Umgebung gemessen wurden, beim Übergang in die zweite Umgebung eine oder mehrere Änderungen der Messdaten auf. Das oben beschriebene Verfahren definiert einen Transformationsprozess zum Übertragen in der ersten Umgebung gemessener Daten in eine zweite Umgebung.
  • Die Fixierung der photolihtographischen Maske kann im Wesentlichen eine ebene Fläche einer rückseitigen Oberfläche der photolithographischen Maske erzeugen.
  • Eine ebene Fläche einer rückseitigen Oberfläche oder einer Rückseite einer EUV-Maske wird im Folgenden als Referenzebene bezeichnet. Die in einer ersten Umgebung bestimmten Messdaten werden auf die Referenz- oder Bezugsebene einer ebenen rückseitigen Oberfläche einer EUV-Maske transformiert. Eine rückseitige Oberfläche oder eine Rückseite einer EUV-Maske ist die Oberfläche, die der Oberfläche gegenüberliegt, auf der die Pattern-Elemente der UV-Maske angeordnet sind.
  • Die oben angegebene Definition einer Bezugsebene ist äquivalent zu einer ebenen Oberfläche einer Ansaugvorrichtung, wenn angenommen wird, dass die Ansaugvorrichtung eine Kraft auf die EUV-Maske ausüben kann, so dass deren Rückseite im Wesentlichen ganzflächig auf der Oberfläche der Ansaugvorrichtung aufliegt. Wie bereits oben ausgeführt, werden EUV-Masken typischerweise während ihres Betriebs in einem Belichtungssystem einer EUV-Lithographievorrichtung von einer Ansaugvorrichtung gehalten betrieben.
  • Der Ausdruck „im Wesentlichen“ beschreibt in dieser Anmeldung eine Messgröße innerhalb der Fehlerangabe, wenn Messgeräte gemäß dem Stand der Technik eingesetzt werden.
  • Das Bestimmen der Messdaten für die photolithographische Maske in der ersten Umgebung umfasst: Bestrahlen der photolithographischen Maske mit einem Photonenstrahl und/oder einem Elektronenstrahl. Der Photonenstrahl kann eine Wellenlänge im Bereich von 193 nm aufweisen und eine numerische Apertur von zwischen 0,5 und 0,9, bevorzugt 0,8 haben, und/oder der Photonenstrahl kann eine Wellenlänge im Bereich von 10 nm bis 15 nm umfassen und eine Linienbreite < 1 nm aufweisen, und/oder die Elektronen des Elektronenstrahls können eine kinetische Energie im Bereich von 0,1 keV bis 100 keV, bevorzugt 0,2 keV bis 80 keV, mehr bevorzugt 0,3 bis 50 keV und am meisten bevorzugt 0,5 keV bis 30 keV aufweisen.
  • Es ist günstig, für das Analysieren der Platzierung von Pattern-Elementen photolithographischer Masken für den EUV-Wellenlängenbereich Photonen mit möglichst geringer Wellenlänge einzusetzen, da das Auflösungsvermögen einer Messvorrichtung zur Bestimmung der Platzierung der Pattern-Elemente mit abnehmender Wellenlänge zunimmt. Derzeit verhindert die mangelnde Verfügbarkeit von EUV-Lichtquellen noch weitgehend den Einsatz von Messvorrichtungen, die bei der aktinischen Wellenlänge arbeiten. Alternativ oder zusätzlich können Elektronenstrahlen zum Untersuchen der Platzierung von Pattern-Elementen auf der vorderseitigen Oberfläche von EUV-Masken benutzt werden. Elektronenstrahlen bieten den Vorteil einer großen lateralen Auflösung, allerdings ist die Ortsauflösung in Strahlrichtung begrenzt. Zudem benötigt das Abtasten der gesamten Oberfläche einer EUV-Maske eine lange Zeitspanne.
  • Das Ermitteln der zumindest einen Änderung der Messdaten kann umfassen: Ermitteln einer Lageänderung einer neutralen Faser der photolithographischen Maske beim Übergang von der ersten Umgebung in die zweite Umgebung. Das Ermitteln der Lageänderung der neutralen Faser kann anhand der im Schritt a. bestimmten Messdaten erfolgen.
  • Eine neutrale Faser bezeichnet in einem Körper, zum Beispiel einem Balken oder einer Platte, eine Ebene, bei der eine Druckspannung in eine Zugspannung übergeht. Die neutrale Faser ist kräftefrei. Die neutrale Ebene einer Photomaske kann in jedem Punkt der Maske durch Gradienten bzw. lokale Tangenten in der x- und der y-Richtung beschrieben werden.
  • Das Ermitteln der Lageänderung der neutralen Faser kann in indirekter Weise anhand der in Schritt (a) bestimmten Messdaten erfolgen.
  • Einerseits führt eine Änderung der inneren Spannungen einer EUV-Maske zu einer Änderung der Positionen der Pattern-Elemente auf einer Oberfläche der EUV-Maske. Andererseits äußert sich eine Änderung des Spannungszustandes einer EUV-Maske in einer Änderung der der Oberfläche und damit auch der Lage der neutralen Faser einer EUV-Maske. Deshalb kann aus einer Änderung der Oberfläche auf eine Lageänderung der neutralen Faser geschlossen werden, die zum Ermitteln einer Änderung der Messdaten beim Übergang von der ersten in die zweite Umgebung eingesetzt werden kann.
  • Das Ermitteln der Lageänderung der neutralen Faser kann das Ermitteln einer Änderung einer Vergrößerung der photolithographischen Maske in der ersten Umgebung bezüglich der zweiten Umgebung umfassen. Das Ermitteln der Änderung einer Vergrößerung kann das Bestimmen eines isotropen Vergrößerungsfaktors umfassen und/oder kann das Bestimmen von zumindest zwei Vergrößerungsfaktoren, die eine anisotrope Vergrößerung der photolithographischen Maske in der ersten Umgebung erfassen, umfassen.
  • Die Oberfläche einer EUV-Maske, auf der die Pattern-Elemente platziert sind, wird im Folgenden Vorderseite oder Maskenvorderseite bezeichnet. Die inneren Spannungen einer EUV-Maske resultieren in einer Krümmung der vorderseitigen Oberfläche, auf der die Pattern-Elemente angeordnet sind. Dadurch wird die Oberfläche der EUV-Maske gedehnt, wobei sich die Pattern-Elemente verschieben. Eine symmetrische Krümmung der EUV-Maske senkrecht zur Maskenebene bewirkt eine symmetrische Verschiebung der Pattern-Elemente und verhält sich daher wie eine Vergrößerung.
  • Das Ermitteln der Lageänderung der neutralen Faser kann umfassen: Auslesen der Lageänderung der neutralen Faser aus einer Datenbank, die die Lagen der neutralen Fasern verschiedener Maskentypen umfasst.
  • Wie bereits oben ausgeführt, weisen individuelle EUV-Masken individuelle innere Spannungszustände auf. Die individuellen Spanungsänderungen innerhalb eines spezifischen Maskentyps können in erster Näherung verglichen mit den inneren Spannungen verschiedener Maskentypen vernachlässigt werden. Verschiedene Maskenarten weisen charakteristische innere Spannungszustände auf, so dass ein für den jeweiligen Maskentyp charakteristischer Spannungszustand bestimmt und in einem Speicher abgelegt werden kann. Zum Bestimmen eines charakteristischen Spannungszustands eines EUV-Maskentyps können die Spannungszustände mehrerer Masken gemessen werden, deren Messdaten anschließend einem Mittelungsprozess unterworfen werden.
  • Das Ermitteln der Lageänderung der neutralen Faser kann umfassen: Ausführen einer Finite-Elemente-Simulation der photolithographischen Maske in der ersten Umgebung zum Bestimmen der Lageänderung der neutralen Faser.
  • Zum Ausführen einer Finite-Elemente-Simulation für eine herkömmliche transmissive Photomaske reichen in einer ersten Näherung die Kenntnis der Abmessungen und die Materialeigenschaften des Maskensubstrats aus. Für eine präzise Analyse mittels einer Finite-Elemente-Simulation müssen die Daten der rückseitigen Metallisierungsschicht und die Daten der Pattern-Elemente auf der Maskenvorderseite bekannt sein.
  • Zum Ausführen einer Finite-Elemente-Simulation einer reflektiven EUV-Maske werden in jedem Fall neben den Geometrie- und den Materialdaten des Maskensubstrats auch die Kenntnis der Schichtdicken und der Materialzusammensetzung der Vielzahl der Schichten der Mehrschichtstruktur benötigt. Für eine präzise Bestimmung des Spannungszustandes einer EUV-Maske werden ggf. auch die Dichte der geätzten Pattern-Elemente sowie die Lage der Lichtabschirmränder, die im Fachgebiet als „Black Borders“ bezeichnet werden, benötigt. Die Ermittlung aller notwendigen Eingangsparameter für eine Finite-Elemente-Simulation einer EUV-Maske kann deshalb ein aufwändiger Prozess sein.
  • Falls eine Finite-Elemente-Simulation zum Bestimmen der Lageänderung der neutralen Faser durchgeführt wird, ist es günstig, die Wirkung der Gravitation aufgrund der Lagerung der EUV-Maske zu berücksichtigen.
  • Das Ermitteln der zumindest einen Änderung der Messdaten kann umfassen: Bestimmen einer Änderung der Vergrößerung der photolithographischen Maske in der ersten Umgebung bezüglich einer vorgegebenen Vergrößerung.
  • Die Krümmung der Maskenoberfläche resultiert in einer Änderung der Vergrößerung bzw. der Verkleinerung gegenüber einer durch das Design vorgesehenen Abbildung einer EUV-Maske. Aus der bestimmten Änderung der Abbildung der EUV-Maske in der ersten Umgebung kann auf den Krümmungsgrad und damit auf die Änderung der neutralen Faser der EUV-Maske geschlossen werden.
  • Die Änderung der Vergrößerung kann eine rotationsymmetrische Vergrößerung um eine Achse senkrecht zur Maskenebene oder eine asymmetrische Vergrößerung in zwei orthogonale Richtungen in der Maskenebene umfassen. Eine rotationssymmetrische Vergrößerung ist im Fachgebiet unter den englischen Begriffen „magnification“ oder „magnification correction“ und eine asymmetrische Vergrößerung unter den ebenfalls englischen Begriffen „scale“ oder „scale correction“ bekannt.
  • Das Ermitteln der zumindest einen Änderung kann umfassen: Bestimmen einer Änderung der Vergrößerung der photolithographischen Maske durch eine Vergrößerungskompensation einer Messvorrichtung zum Bestimmen der Lageänderung der neutralen Faser der photolithographischen Maske in der ersten Umgebung.
  • Eine Vergrößerungskompensation kann eine rechnerische Kompensation der Vergrößerungsänderung der photolithographischen Maske umfassen. Ferner kann die rechnerische Kompensation der Vergrößerungsänderung mit Hilfe der Koordinaten der Pattern-Elemente in der Maskenoberfläche erfolgen.
  • Das Bestimmen der Messdaten kann nach einem Herstellen von Pattern-Elementen auf einer Maskenoberfläche erfolgen, und/oder kann nach Erzeugen zumindest eines Lichtabschirmrandes im aktiven Bereich der photolithographischen Maske erfolgen.
  • Nach dem Ätzen der Pattern-Elemente können deren Positionen erstmalig gemessen werden. Das nachfolgende Erzeugen von Lichtabschirmrändern an den Grenzen der Belichtungsflächen oder Belichtungsfelder, beispielsweise durch Ätzen von Gräben durch die Mehrschichtstruktur, ändert die inneren Spannungen der EUV-Maske und damit die Positionen der Pattern-Elemente auf der Maskenoberfläche. Deshalb werden die Platzierungsdaten bevorzugt nach dem letzten Prozessschritt des Herstellungsprozesses der EUV-Maske gemessen, der die inneren Spannungen der EUV-Maske ändert. Es ist jedoch auch möglich, die Platzierung der Pattern-Elemente auf der EUV-Maske unmittelbar nach Fertigstellen des Erzeugungsprozesses zu bestimmen und die Änderung der Platzierung der nachfolgenden Prozessschritte, die die inneren Spannungen der EUV-Maske ändern, rechnerisch zu der einen oder den mehreren Änderungen der Messdaten hinzuzufügen.
  • Das Ermitteln der zumindest einen Änderung der Messdaten kann eine Änderung der Messdaten während eines nachfolgenden Prozessschrittes der Maskenherstellung umfassen.
  • Die Auswirkungen der Prozessschritte, die dem Ätzen der Pattern-Elemente folgen, auf die inneren Spannungen einer EUV-Maske können für verschiedene Pattern-Konfigurationen und verschiedene Maskentypen experimentell ermittelt werden und in einer Datenbank abgelegt werden, so dass bei Bedarf auf diese Daten zurückgegriffen werden kann.
  • Das Ermitteln der zumindest einen Änderung der Messdaten kann umfassen: Bestimmen einer Höhendifferenz einer photolithographischen Maske. Das Bestimmen einer Höhendifferenz einer photolithographischen Maske kann umfassen: Bestimmen einer maximalen Höhendifferenz aus einem dreidimensionalen Datensatz einer EUV-Maske und/oder Bestimmen einer maximalen Höhendifferenz aus einem Fit zweiter Ordnung an ein Höhenprofil der EUV-Maske.
  • Falls elektromagnetische Strahlung unter einem Winkel auf eine EUV-Maske einfällt, der von der Senkrechten zur Maskenebene abweicht, führt eine gekrümmte Oberfläche einer EUV-Maske zu einem Strahlversatz der reflektierten Strahlung bezogen auf eine EUV-Maske mit ebener Oberfläche. Das Bestimmen einer globalen Höhendifferenz eines Höhenprofils einer gekrümmten EUV-Maske ermöglicht das Ermitteln eines Strahlversatzes von auf eine EUV-Maske einfallender EUV-Strahlung. Dadurch wird es möglich, den Strahlversatz beim Ermitteln der Änderung(en) der Messdaten beim Übergang von der ersten in die zweite Umgebung zu berücksichtigen.
  • Ein Computerprogramm kann Anweisungen enthalten, die, wenn sie von einem Computersystem ausgeführt werden, das Computersystem veranlassen, die Schritte der oben angegebenen Verfahren und/oder der oben angegebenen Aspekte auszuführen.
  • In einem anderen Aspekt ist eine Auswerteeinheit für eine Vorrichtung zum Messen einer Platzierung von Pattern-Elementen einer photolithographischen Maske für den extrem ultravioletten (EUV)-Wellenlängenbereich ausgebildet, die Schritte des oben definierten Verfahrens und/oder der oben angegebenen Aspekte auszuführen.
  • Figurenliste
  • In der folgenden detaillierten Beschreibung werden derzeit bevorzugte Ausführungsbeispiele der Erfindung unter Bezugnahme auf die Zeichnungen beschrieben, wobei
    • 1 im oberen Teilbild schematisch einen Schnitt durch eine auf drei Halbkugeln gelagerte herkömmliche transmissive photolithographische Maske nach dem Stand der Technik zeigt und im unteren Teilbild die photolihtograraphische Maske des oberen Teilbildes präsentiert, deren Durchbiegung aufgrund der Gravitationswirkung korrigiert wurde;
    • 2 schematisch einen Querschnitt durch eine ideale Photomaske für den extrem ultravioletten (EUV)-Wellenlängenbereich veranschaulicht;
    • 3 im oberen Teilbild schematisch einen Schnitt durch eine mittels einer Dreipunktlagerung fixierte reale EUV-Maske präsentiert und im unteren Teilbild die EUV-Maske des oberen Teilbildes zeigt, die auf einer Ansaugvorrichtung gelagert ist;
    • 4 schematisch die Out-of-Plane-Distortion (OPD) der gekrümmten EUV-Maske des oberen Teilbildes der 3 erläutert;
    • 5 schematisch die In-Plane-Distortion (IPD) der gekrümmten EUV-Maske des oberen Teilbildes der 3 definiert;
    • 6 einen schematischen Schnitt durch eine Messvorrichtung zum Bestimmen der Platzierung von Pattern-Elementen einer EUV-Maske präsentiert;
    • 7 ein Beispiel der von der Messvorrichtung der 6 bestimmten zweidimensionalen Messdaten wiedergibt;
    • 8 ein Höhenprofil einer gekrümmten EUV-Maske darstellt, das Basis eines dreidimensionalen Datensatzes der Messvorrichtung der 6 bestimmt wurde;
    • 9 einen Fit zweiter Ordnung an das Höhenprofil der 8 präsentiert;
    • 10 eine Maskenebenheit der EUV-Maske der 8 zeigt, nachdem von dem gemessenen Höhenprofil der Fit zweiter Ordnung der 9 abgezogen wurde; und
    • 11 ein Flussdiagramm eines Verfahrens zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Wellenlängenbereich von einer ersten Umgebung in eine zweite Umgebung darstellt.
  • Detaillierte Beschreibung bevorzugter Ausführungsbeispiele
  • Im Folgenden werden derzeit bevorzugte Ausführungsformen eines erfindungsgemäßen Verfahrens und einer erfindungsgemäßen Vorrichtung anhand einer photolithographischen Maske für den extrem ultravioletten (EUV)-Wellenlängenbereich erläutert deren Pattern-Elemente absorbierendes Material umfassen. Ein erfindungsgemäßes Verfahren zum Transformieren von Messdaten einer EUV-Maske ist jedoch nicht auf die im Folgenden diskutierten Beispiele beschränkt. Vielmehr kann dieses in gleicher Weise zum Transformieren von Messdaten verschiedener Arten von EUV-Masken eingesetzt werden, insbesondere beispielsweise zum Transformieren der Messdaten von phasenschiebenden EUV-Masken. Darüber hinaus kann ein erfindungsgemäßes Verfahren generell zum Transformieren von Messdaten einer EUV-Maske von einer ersten Umgebung auf eine beliebige Referenzoberfläche verwendet werden.
  • Die 1 zeigt im oberen Teilbild einen schematischen Schnitt durch eine herkömmliche transmissive Photomaske 100 nach dem Stand der Technik. Die Photomaske 100 weist ein transmissives Substrat 110, beispielsweise aus Quarz und absorbierende Pattern-Elemente 120 und 130 auf. Typischerweise weist das Substrat 110 einer transmissiven Photomaske 100 eine Dicke von 6,35 mm auf. Häufig weisen herkömmliche Photomasken 100 laterale Abmessungen (d.h. Abmessungen in der Maskenebene) von 152 mm × 152 mm auf. Vorzugsweise eine Fläche von 142 mm × 142 mm wird zum Abbilden der Pattern-Elemente 120, 130 auf einen Wafer benutzt (aktive Fläche der Photomaske 100). Innerhalb dieses Bereichs sind Pattern-Elemente 120 und 130 angeordnet. Die absorbierenden Pattern-Element 120, 130 sind auf der Maskenvorderseite 135 der Photomaske 100 angebracht.
  • Während des Erzeugens der Pattern-Elemente 120, 130 und während des Messens der Positionen der erzeugten Pattern-Elemente 120, 130 sind Photomasken 100 häufig auf drei Kugeln bzw. Halbkugeln punktförmig gelagert. Das obere Teilbild der 1 gibt einen Schnitt durch zwei der drei Halbkugeln bzw. Auflagekugeln 145 wieder. Die drei Halbkugeln bzw. Auflagekugeln 145 sind Teil einer Dreipunktlagerung 140, die die Photomaske 100 fixieren. Die Dreipunktlagerung 140 wiederum ist Teil einer ersten Umgebung 150 in der die Pattern-Elemente 120, 130 erzeugt und deren Positionen gemessen werden. Wie durch die punktierte Linie 125 angedeutet, biegt sich die Photomaske 100 während des Erzeugens und des Messens der Pattern-Elemente 120, 130 aufgrund ihrer Masse durch die Wirkung der Gravitation. Die Biegung der Photomaske 100 ändert die Positionen der Pattern-Elemente 120, 130 geringfügig im Vergleich zu einer Maske, die keine Biegung oder Krümmung aufweist. Das untere Teilbild der 1 präsentiert die Photomaske 100 des oberen Teilbildes, deren Substrat 160 plan ist. Die Pattern-Elemente 170, 180 der unteren Photomaske 100 mit ebenem Substrat 160 weisen gegenüber der im oberen Teilbild der 1 dargestellten Photomaske 100 eine geringe Verschiebung gegenüber den gemessenen Pattern-Elementen 120, 130 auf.
  • Der senkrechte Pfeil der 1 symbolisiert eine Finite-Elemente-Simulation 190 des Substrats 110 der Photomaske 100. Die Finite-Elemente-Simulation 190 des Substrats 110 der Photomaske 100 wird ausgeführt, um die Gravitationswirkung auf das Substrat 110 der Photomaske 100 in der im oberen Teilbild der 1 dargestellten Dreipunktlagerung 140 der Photomaske 100 zu bestimmen. Gleichzeitig wird die Finite-Elemente-Simulation 190 benutzt, um die Positionen der Pattern-Elemente 170, 180 auf der ebenen Maskenvorderseite 185 auf Basis der gemessenen Pattern-Elemente 120, 130 zu ermitteln.
  • Beim Durchführen der Finite-Elemente Simulation 190 kann die herkömmliche Photomaske 100 in guter Näherung durch deren Substrat 110 ersetzt werden.
  • Die 2 präsentiert schematisch einen Schnitt durch eine ideale absorbierende photolithographische Maske 200 für den EUV-Wellenlängenbereich. Eine photolithographische Maske für den EUV-Wellenlängenbereich wird im Folgenden auch EUV-Maske oder EUV-Photomaske genannt. Die beispielhafte ideale EUV-Maske 200 ist für eine Belichtungswellenlänge im Bereich von 13,5 nm ausgelegt. Die EUV-Maske 200 weist ein Substrat 210 aus einem Material mit einem niedrigen thermischen Ausdehnungskoeffizienten auf, wie beispielsweise Quarz. Andere Dielektrika, Glasmaterialien oder halbleitende Materialien können ebenfalls als Substrate für EUV-Masken eingesetzt werden, wie etwa ZERODUR®, ULE® oder CLEARCERAM®. Die Rückseite 215 bzw. die rückseitige Oberfläche 215 des Substrats 210 der EUV-Maske 200 dient zum Halten des Substrats 210 während der Herstellung der EUV-Maske 200 und während ihres Betriebs in einer EUV-Photolithographievorrichtung. Auf der Rückseite 215 des Substrats 210 ist vorzugsweise eine dünne elektrisch leitfähige Schicht 220 zum Halten des Substrats 210 auf einer elektrostatischen Ansaugvorrichtung (englisch: electrostatic chuck (ESC)) aufgebracht. Die Ansaugvorrichtung ist in der 2 nicht dargestellt). In einer alternativen Ausführungsform weist die EUV-Maske 200 keine elektrisch leitfähige Schicht 220 auf der Rückseite 215 des Maskensubstrats 210 auf und die EUV-Maske wird mit Hilfe einer Unterdruck-Ansaugvorrichtung (englisch: vacuum chuck (VC)) während ihres Betriebs in einer EUV-Photolithographievorrichtung fixiert.
  • Auf die Vorderseite 225 des Substrats 210 wird ein Mehrschichtfilm oder eine Mehrschichtstruktur 270 abgeschieden, die 20 bis 80 Paare alternierender Molybdän- (Mo) 230 und Silizium- (Si) Schichten 235 umfasst, die im Folgenden auch als MoSi-Schichten bezeichnet werden. Um die Mehrschichtstruktur 270 zu schützen, wird eine Deckschicht 240 beispielsweise aus Siliziumdioxid auf der obersten Silizium-Schicht 235 aufgebracht. Andere Materialien wie beispielsweise Ruthenium (Ru) können ebenfalls zum Bilden einer Deckschicht 240 eingesetzt werden. Anstelle von Molybdän können für die MoSi-Schichten auch Schichten aus anderen Elementen mit hoher Nukleonenzahl, wie etwa Kobalt (Co), Nickel (Ni), Wolfram (W), Rhenium (Re), Zirconium (Zn) oder Iridium (Ir) verwendet werden. Das Abscheiden der Mehrschichtstruktur 270 kann beispielsweise durch Ionenstrahl-Abscheiden (IBD, Ion Beam Deposition) erfolgen.
  • Das Substrat 210, die Mehrschichtstruktur 270 und die Deckschicht 240 werden im Folgenden auch Maskenrohling 275 genannt. Es ist jedoch auch möglich, eine Struktur als Maskenrohling 275 zu bezeichnen, die alle Schichten einer EUV-Maske aufweist, allerdings ohne eine Strukturierung der ganzflächigen Absorberschicht 260.
  • Um aus dem Maskenrohling 275 eine EUV-Maske 200 herzustellen, wird auf der Deckschicht 240 eine Pufferschicht 245 abgeschieden. Mögliche Pufferschichtmaterialien sind Quarz (SiO2), Silizium-Sauerstoff-Nitrid (SiON), Ru, Chrom (Cr) und/oder Chromnitrid (CrN). Auf der Pufferschicht 245 wird eine Absorptionsschicht 250 abgeschieden. Für die Absorptionsschicht 250 geeignete Materialien sind unter anderem Cr, Titannitrid (TiN) und/oder Tantalnitrid (TaN). Auf die Absorptionsschicht 250 kann eine Antireflexionsschicht 255 aufgebracht werden, beispielsweise aus Tantaloxynitrid (TaON).
  • Die Absorptionsschicht 250 wird beispielsweise mit Hilfe eines Elektronenstrahls oder eines Laserstrahls strukturiert, so dass aus der ganzflächigen Absorptionsschicht 260 eine Struktur aus absorbierenden Pattern-Elementen 250 erzeugt wird. Die Pufferschicht 245 dient dem Schutz der Mehrschichtstruktur 270 während des Strukturierens der Absorberschicht 260, d.h. des Erzeugens der Pattern-Elemente 250.
  • Die EUV-Photonen 280 treffen auf die EUV-Maske 200. In den Bereichen der Pattern-Elemente 250 werden die einfallenden EUV-Photonen 280 absorbiert und in den Bereichen, die frei von absorbierenden Pattern-Elementen 250 sind, wird zumindest die Mehrzahl der EUV-Photonen 280 von der Mehrschichtstruktur 270 reflektiert.
  • Die Mehrschichtstruktur 270 ist so auszulegen, dass die Schichtdicken beispielsweise einer Molybdän- 230 und einer Silizium-Schicht 235 für die auf die Mehrschichtstruktur 270 einfallenden EUV-Photonen 280 unter dem vorgegebenen Einfallswinkel einer optischen Dicke von λ/2 der aktinischen Wellenlänge entsprechen. Eine Abweichung von dieser Bedingung führt zu einer lokalen Verletzung der Bragg'schen Reflexionsbedingung und damit zu einer Änderung des lokal reflektierten Lichts im EUV-Wellenlängenbereich. Aufgrund der sehr kleinen Wellenlängen stellt der EUV-Bereich extreme Anforderungen an die Homogenität der einzelnen Schichten der Mehrschichtstruktur 270 sowie an die Platzierung der Pattern-Elemente 250 auf der Mehrschichtstruktur 270.
  • Die 2 stellt eine ideale EUV-Maske 200 dar. Die EUV-Maske 300 im oberen Teilbild der 3 zeigt schematisch einen Schnitt durch eine EUV-Maske 300. Die EUV-Maske 300 ist auf zwei Halbkugeln 145 einer Dreipunktlagerung 140 gelagert. Die Dreipunktlagerung 140 ist wiederum Teil einer ersten Umgebung 150. Neben der Dreipunktlagerung 140 weist die erste Umgebung 150 definierte Umgebungsbedingungen auf, beispielsweise eine vorgegebene Temperatur und eine vorgegebene Luftfeuchtigkeit. Zusätzlich können Änderungen der Umgebungsbedingungen, wie z.B. der Luftdruck, die Gaszusammensetzung und mögliche hieraus resultierende Einflüsse kompensiert werden.
  • Anders als die ideale EUV-Maske 200 der 2 weist die EUV-Maske 300 in der ersten Umgebung 150 der 3 eine Krümmung auf. Die Krümmung oder Biegung der Substratvorderseite 325, auf der die Mehrschichtstruktur 370 und die Pattern-Elemente 360 angebracht sind, weist in dem in der 3 dargestellten Beispiel eine konvexe Form auf. Die inneren Spannungen entstehen in der EUV-Maske 300, insbesondere in deren Substrat 310 durch das Abscheiden der Mehrschichtstruktur 370 bei einer Temperatur von etwa 200 °C auf dem Substrat 310. Die unterschiedlichen Ausdehnungskoeffizienten der Materialien der Mehrschichtstruktur 370 und des Substrats 310 führen während des Abkühlungsprozesses der Kombination aus Substrats 310 und der Mehrschichtstruktur 370 zum Aufbau von inneren Spannungen in dieser Materialkombination. In einer Dreipunktauflage kommt es zu einer Kombination der Krümmung, die durch die inneren Spannungen der EUV-Maske verursacht wird und der Verformung, die durch das Eigengewicht der Maske in der Dreipunktauflage hervorgerufen wird.
  • Das Abscheiden der Absorberschicht 260, das Strukturieren der Pattern-Elemente 370 und das Erzeugen von Lichtabschirmrändern (englisch: black border) an den Grenzen der einzelnen Belichtungsfelder der EUV-Maske 300 tragen ebenfalls zu inneren Spannungen bzw. deren Änderung während des Maskenherstellungsprozesses in der EUV-Photomaske 300 bei. Ferner liefert eine dünne ganzflächige elektrisch leitfähige rückseitige Schicht 220 einen Beitrag zu den inneren Spannungen der photolithographischen Maske 300. Die leitfähige rückseitige Schicht 220 der 2 ist in der 3 aus Übersichtlichkeitsgründen unterdrückt. Typischerweise kommt der größte Beitrag der inneren Spannungen einer EUV-Maske 300 von der Vielzahl der MoSi-Schichten 230, 235 der Mehrschichtstruktur 370. Die inneren Spannungen absorbierender EUV-Masken liegen typischerweise in Bereich von 100 MPa bis 5 GPa, insbesondere im Bereich von 300 MPa bis 500 MPa.
  • In der ersten Umgebung 150 des oberen Teilbildes der 3 werden die Positionen der Pattern-Elemente 360 gemessen. In der in der im oberen Teilbild der 3 dargestellten beispielhaften ersten Umgebung 150 wirkt aufgrund der Dreipunktlagerung 140 der gekrümmten EUV-Maske 300 die Schwerkraft oder Gravitation der Krümmung der EUV-Maske 300 entgegen. Es sollen nun die in der ersten Umgebung 150 bestimmten Messdaten, beispielsweis die Platzierungsdaten der Pattern-Elemente 360 und/oder die oben angesprochenen Umgebungsbedingungen in eine zweite Umgebung transformiert werden. In der zweiten Umgebung 350 weist die EUV-Maske 300 als eine Referenz- oder eine Bezugsebene eine im Wesentlichen plane rückseitige Oberfläche 325 auf. Auf diese Bezugsebene 325 werden die Platzierungsdaten der Pattern-Elemente 365 in der zweiten Umgebung 350 bezogen. Eine ebene Rückseite 325 eines Substrats 320 der EUV-Maske 300 kann beispielsweise durch die Lagerung auf einer Ansaugvorrichtung 330 erreicht bzw. erzwungen werden. Die Ansaugvorrichtung 330 kann eine elektrostatische Ansaugvorrichtung (ESC) oder eine Unterdruck-Ansaugvorrichtung (VC) umfassen. In einer alternativen Ausführungsform kann in der zweiten Umgebung 350 eine beliebig geformte Referenzfläche für die EUV-Maske 300 gewählt werden, solange die vorgegebene Referenzfläche eine Verformung der EUV-Maske erzeugt, die der Referenzfläche der zweiten Umgebung möglichst nahekommt.
  • Der senkrechte Pfeil symbolisiert in der 3 die Änderungen 390, die an Messdaten, die in der ersten Umgebung 150 aufgenommen wurden, vorgenommen werden müssen, um diese von der ersten Umgebung 150 in die zweite Umgebung 350 zu transformieren.
  • In einem ersten Ausführungsbeispiel wird eine Finite-Elemente-Simulation der EUV-Maske 300 in der ersten Umgebung 150 ausgeführt, um die Änderung 390 der Platzierungsdaten der Pattern-Elemente 360 beim Übergang von der ersten 150 zur zweiten Umgebung 350 zu ermitteln. Bei der Finite-Elemente-Simulation der EUV-Maske 300 wird sowohl deren Krümmung als auch die Wirkung der Gravitation auf die EUV-Maske 300 berücksichtigt.
  • Anders als im Kontext der 1 erläutert, reicht es für EUV-Maske 300 nicht aus, in der Finite-Elemente-Simulation die EUV-Maske 300 durch deren Substrat 310 zu ersetzen. Diese Näherung würde die inneren Spannungen der EUV-Maske 300, deren Effekt die Wirkung der Gravitation um ein Mehrfaches übersteigt, vernachlässigen. Für die EUV-Maske 300 ist es jedoch schwierig und damit zeitaufwändig alle Eingangsparameter zum Ausführen einer belastbaren Finite-Elemente-Simulation zu ermitteln. Diese Schwierigkeiten rühren hauptsächlich von der Vielzahl der Schichten der Mehrschichtstruktur 370 her. Im Folgenden werden deshalb alternative Ausführungsbeispiele zum Ermitteln der Änderung(en) 390 der Messdaten beim Übergang von der ersten 150 zur zweiten Umgebung 350 beschrieben, die weniger aufwändig sind als das Ausführen einer Finite-Elemente-Simulation für die EUV-Maske 300.
  • In den nachfolgend beschriebenen alternativen Ausführungsbeispielen wird die Wirkung der Gravitation in der ersten Umgebung 150 auf die EUV-Maske 300 auf die Änderung(en) 390 der Messdaten durch eine Finite-Elemente-Simulation des Substrats 310 der EUV-Maske 300 - ähnlich wie im Kontext der 1 erläutert - berücksichtigt.
  • Die 4 erläutert schematisch eine erste Auswirkung einer gekrümmten Maskenoberfläche 315, 335, die in einem Strahlversatz reflektierter EUV-Strahlung 450 resultiert. Der Strahlversatz bewirkt eine Verschiebung der gemessenen Pattern-Elemente 360 an Positionen, die verschiedenen sind, verglichen mit den Pattern-Elementen 365 eines Substrats 320 einer nicht gekrümmten EUV-Maske. Der Strahlversatz ist das Ergebnis eines nicht senkrechten Lichteinfalls auf die EUV-Maske. Der Strahlversatz in x-Richtung, d.h. in einer Richtung in der Maskenebene, durch die globale Krümmung der EUV-Maske wird im Fachgebiet als die Out-of-Plane-Distortion (OPD) bezeichnet. Die OPD wird durch die Formel erfasst: O P D x = Δ z tan Φ Μ
    Figure DE102017202945A1_0001
  • Typischerweise fällt das EUV-Licht 410 in einem EUV-Scanner unter einem Winkel von 5° bis 9° auf die Oberfläche einer EUV-Maske. In dem in der 4 dargestellten Beispiel wurde ein Winkel von Φ = 6° gewählt. Die EUV-Maske der 4 weist aufgrund ihrer Krümmung eine Höhenänderung Δz über die Fläche der EUV-Maske auf. Häufig weist die Optik des Scanners, d.h. die zweite Umgebung 350, eine Vergrößerung in einem Bereich von 1/4 bis 1/8 auf. In dem Beispiel der 4 ist eine Verkleinerung um den Faktor 4 angegeben.
  • Anhand der 5 wird schematisch eine zweite Auswirkung einer lokal gekrümmten Maskenoberfläche auf die gemessene Platzierung der Pattern-Elemente 360 erläutert. Das obere Teilbild der 5 präsentiert schematisch die gekrümmte EUV-Maske der 4. Die lokale Krümmung der Oberfläche einer EUV-Maske wird durch die Tangente Δz/Δx beschrieben. Die Tangente kann ebenfalls durch den Winkel α gemäß der Gleichung tan α = Δz/Δx ausgedrückt werden.
  • Die gestrichelte Linie in der gekrümmten EUV-Maske veranschaulicht in beiden Teilbildern der 5 die neutrale Faser 510. Die neutrale Faser 510 beschreibt die Fläche in der eine Druckspannung (im oberen Bereich der EUV-Maske der 5) zu einer Zugspannung (im unteren Bereich der EUV-Maske der 5) übergeht. In der zweidimensionalen Ebene der neutralen Faser 510 ist die EUV-Maske frei von inneren Verspannungen.
  • Das untere Teilbild der 5 zeigt einen vergrößerten Ausschnitt der gekrümmten EUV-Maske des oberen Teilbildes der 5. Anhand dieses Teilbildes wird der Zusammenhang zwischen einer Lageänderung der neutralen Faser 510 und der Verschiebung eines Pattern-Elements 360 auf der Oberfläche 335 bewirkt durch eine Krümmung der EUV-Maske 300 erläutert. Die gestrichelte Schnittlinie 520 durch die EUV-Maske schneidet die neutrale Faser 510 senkrecht und damit im Wesentlichen auch die vorderseitige 335 und die rückseitige Oberfläche 315 unter einem rechten Winkel. Die Senkrechte des Schnittpunktes 530 der neutralen Faser 510 auf die vorderseitige Oberfläche 335 der EUV-Maske weist eine Länge k . T auf. Dabei beschreibt T die Dicke einer EUV-Maske. Das Substrat einer EUV-Maske weist - wie oben ausgeführt - typischerweise eine Dicke von 6,35 mm auf. Der Parameter k definiert die Lage der neutralen Faser 510 als Bruchteil der Maskendicke T. Für eine EUV-Maske ohne innere Verspannungen weist k den Wert 0,5 auf. Für eine verspannte EUV-Maske 300 liegt der Parameter k gemäß der im unteren Teilbild der 5 angegebenen Definition typischerweise in einem Intervall 1/2 < k < 2/3.
  • Die Verschiebung der Pattern-Elemente 360 auf der vorderseitigen Oberfläche 335 der EUV-Maske 300 oder die IPD in x-Richtung wird durch den Abstand der Schnittpunkte der Schnittlinie 520 und der Senkrechten k · T auf den Schnittpunkt 530 mit der Oberfläche 335 bestimmt. Damit bestimmt der Abstand 550 eine der Änderungen 390 der Messdaten der Pattern-Elemente 360 aufgrund der Krümmung der EUV-Maske 300. Für kleine Winkel gilt in guter Näherung: sin α ≈ tan α. Die Verschiebung 550 der Pattern-Elemente 360 ergibt sich somit aus: I P D x = k T sin α k t tan α = k T Δ z Δ x .
    Figure DE102017202945A1_0002
    Falls der Vergrößerungsfaktor M des Projektionsobjektivs oder der Projektionsspiegel einer EUV-Photolithographievorrrichtung in der Gleichung für die IPD berücksichtigt wird, erweitert sich die zuletzt angegebene Gleichung zu: I P D x = k T Δ z Δ x M
    Figure DE102017202945A1_0003
  • Die Änderung 390, 550 der Messdaten aufgrund einer Krümmung der EUV-Maske 300 bezogen auf eine EUV-Maske mit ebenem Substrat 320 ist proportional zur lokalen Krümmung Δ z Δ x ,
    Figure DE102017202945A1_0004
    der Dicke der EUV-Maske T und der Lageänderung der neutralen Faser 510 beschrieben durch den Parameter k und skaliert mit der Vergrößerung bzw. Verkleinerung der Projektionsspiegel der EUV-Photolithographievorrichtung.
  • Die 6 zeigt einen Schnitt durch eine funktionale Skizze einer Messvorrichtung 600, mit der die Positionen der Pattern-Elemente 360 auf der EUV-Maske 300 in der ersten Umgebung 150 gemessen werden können. Die photolithographische Maske 300 ist in der ersten Umgebung 150 auf drei Halbkugeln 145 auf einem hochpräzisen Objekttisch 605 (englisch: stage) gelagert. Die Biegung oder Krümmung der EUV-Maske 300 ist aus Übersichtlichkeitsgründen in der 6 unterdrückt. Der Objekttisch 605 ist in drei Translations- und drei Rotationsrichtungen bewegbar. Ferner wird die Bewegung des Objekttisches 605 in allen sechs Freiheitsgraden aktiv überwacht und geregelt. In der Messvorrichtung 600 der 6 ist der Objekttisch 605 die einzige bewegliche Komponente.
  • Als Lichtquelle 610 setzt die Messvorrichtung 600 einen Excimer-Laser ein, der Licht im tief ultravioletten (DUV, deep ultraviolet) Wellenlängenbereich bei etwa 193 nm emittiert. Das abbildende Objektiv 320 weist standardmäßig eine numerische Apertur (NA) auf, die typischerweise zwischen 0,5 und 0,9 liegt. Die NA des Objektivs kann erweitert werden, um das Auflösungsvermögen der Messvorrichtung 600 zu steigern.
  • Eine CCD (charge-coupled device)-Kamera 630 wird als Detektor eingesetzt, der Licht misst, das von der EUV-Maske 300 reflektiert wird. Die CCD-Kamera 630 sendet ihre Messdaten 640 über die Verbindung 635 an die Signalverarbeitungseinheit 645, die ein Bild aus den Messdaten 640 der CCD-Kamera 630 erzeugt.
  • Wie im Kontext der 1 und 3 diskutiert, können herkömmliche Photomasken 100 und insbesondere EUV-Masken 300 eine Krümmung aufweisen. Deshalb weist die Messvorrichtung 600 ein Autofokus (AF)-System 670 auf, das auf einem geneigten Gitter basiert und das in der 6 nicht gezeigt ist. Das AF-System 670 unterstützt den Prozess der Messdatenaufnahme von der EUV-Maske 300. Insbesondere kann das AF-System 670 eingesetzt werden, um ein Höhenprofil der EUV-Maske 300 zu erzeugen. Mit Hilfe des AF-Systems 670 kann die Messvorrichtung 600 das Höhenprofil der EUV-Maske 300 während der Aufnahme der Positionen der Pattern-Elemente 360 messen. Falls diese Messdaten nicht ausreichend sind, kann die Messvorrichtung 600 mittels des AF-Systems 670 in einer getrennten Messung das Höhenprofil der EUV-Maske 300 ermitteln. Diese Messung kann während eines Temperierungsintervalls der EUV-Maske 300 in der ersten Umgebung 150 von der Messvorrichtung 600 ausgeführt werden (englisch: soaking time), so dass die Messung des Höhenprofils der EUV-Maske 300 den Durchsatz oder den Maskendurchsatz der Messvorrichtung 600 nicht verringert.
  • Die Spiegel 675 und die teildurchlässigen Spiegel 680 richten den Laser-Strahl auf das Objektiv 620.
  • Die Messvorrichtung 600 umfasst ferner ein optisches Hilfssystem 690 zum groben Ausrichten der Pattern-Elemente 360 der EUV-Maske 300. Ferner kann die Messvorrichtung 600 weitere Hilfssysteme umfassen (in der 6 nicht dargestellt), die die in der Nähe der EUV-Maske 300 herrschenden Umweltbedingungen messen.
  • Ein Computersystem 650 kann das von der Signalverarbeitungseinheit 645 berechnete Bild auf einem Monitor 655 des Computersystems 650 anzeigen. Das Computersystem 650 kann die Lichtquelle 610, die Bewegungen des Objekttisches 605, das Objektiv 620 und oder das AF-System 670 kontrollieren. Ferner umfasst das Computersystem 650 eine Auswerteeinheit 660. Die Auswerteeinheit 660 enthält Algorithmen, die in Hardware, Software, Firmware oder einer Kombination hiervon ausgeführt sind. Die Algorithmen der Auswerteeinheit 660 können für die Messdaten 640 eine oder mehrere Änderungen 390, 550 bestimmen, um die Messdaten 640 der EUV-Maske 300 von der ersten Umgebung 150 in eine zweite Umgebung 350 zu transformieren.
  • Das Computersystem 650 kann ferner einen oder mehrere nichtflüchtige Datenspeicher umfassen (in der 6 nicht gezeigt). In dem Datenspeicher können der bzw. die Algorithmen, Messdaten 640, die Änderung(en) 390, 550 zu den Messdaten 640 und die korrigierten Messdaten gespeichert werden.
  • In dem in der 6 dargestellten Beispiel ist die Auswerteeinheit 660 Teil des Computersystems 650.In einer alternativen Ausführungsform kann die Auswerteeinheit 660 als eigenständige Einheit ausgeführt werden, die über eine Datenverbindung mit der Datenverarbeitungseinheit 645 und/oder dem Computersystem 650 Daten bzw. Messdaten austauschen kann.
  • Die 7 zeigt im linken Teilbild symbolisiert durch die Pfeile die Verschiebungen der Pattern-Elemente 360 der EUV-Maske 300 in der ersten Umgebung 150 gemessen mit der Messvorrichtung 600 bezüglich der Design-Daten. Die Richtung eines Pfeils gibt die Richtung der Verschiebung eines Pattern-Elements 360 in der Maskenebene an und die Länge eines Pfeils repräsentiert die Größe der Abweichung der gemessenen Platzierung von den vorgegebenen Design-Daten.
  • Das rechte Teilbild der 7 zeigt die leicht asymmetrische Verschiebung der gemessenen Pattern-Elemente 360 der EUV-Maske 300 in der x- und der y-Richtung. Neben den Mittelwerten für die x- und die y-Richtung gibt die Tabelle des rechten Teilbildes der 7 die Abweichungen der Mittelwerte, repräsentiert durch den 30-Wert, sowie die minimalen und maximalen Verschiebungen der Pattern-Elemente 360 für die x- und die y-Richtung an.
  • In einer zweiten Ausführungsform zum Ermitteln der Änderung(en) 390, 550 der Messdaten 640 beim Übergang von der ersten Umgebung 150 in eine zweite Umgebung 350 wird nunmehr angenommen, dass das Substrat 310 der EUV-Maske 300 in seinem Ausgangszustand im Wesentlichen keine inneren Spannungen aufwies. Die in der 7 dargestellte systematische Verschiebung der Pattern-Elemente 360 der EUV-Maske 300 wird dann in Wesentlichen als durch den Maskenherstellungsprozess hervorgerufen betrachtet. Wie bereits oben ausgeführt, bewirkt eine innere Spannung der EUV-Maske 300 eine Krümmung der Maske 300, die zu einer Größenänderung der Abbildung der EUV-Maske 300 führt. Die Änderung der Abbildung der EUV-Maske 300 kann dazu verwendet werden, die Lageänderung k der neutralen Faser 510 gemäß der Gleichung (2) durch die Krümmung der EUV-Maske 300 zu bestimmen. Da die Messvorrichtung 600 bzw. deren Auswerteeinheit 660 aus den gemessenen Daten ebenfalls den lokalen Gradienten Δ z Δ x
    Figure DE102017202945A1_0005
    bestimmen kann, kann aus der Analyse der zweidimensionalen Messdaten der Messvorrichtung 600 zumindest grob die Änderung(en) 390, 550 der Messdaten 640 beim Übergang von der ersten 150 zur zweiten Umgebung 350 berechnet werden.
  • Eine dritte Ausführungsform zum Ermitteln der Änderung(en) 390, 550 der Messdaten 640 beim Übergang von der ersten Umgebung 150 in eine zweite Umgebung 350 basiert auf einem dreidimensionalen Datensatz, der von der Messvorrichtung 600 für die Pattern-Elemente 360 der EUV-Maske gemessen wurde. Die 8 präsentiert eine dreidimensionale Darstellung der Messdaten 640 der EUV-Maske 300, die mit Hilfe der Messvorrichtung 600 aufgenommen wurden. In dem in der 8 dargestellten Beispiel beträgt der maximale Höhenunterschied des gemessenen Höhenprofils 850 über die EUV-Maske 300 hinweg 138 nm. Im Fachgebiet wird die Krümmung, die Biegung oder die Durchbiegung mit dem englischen Fachbegriff bow oder mask bow bezeichnet. Die maximale Höhendifferenz des Höhenprofils 850 trägt im Fachgebiet die englische Bezeichnung PV für peak to valley. Auf der Basis des in der 8 dargestellten Höhenprofils 850 der EUV-Maske 300 kann zum einen der lokale Gradient Δ z Δ x
    Figure DE102017202945A1_0006
    und zum anderen die Änderung k der neutralen Faser 510 gemäß der Gleichung (2) aus dem Höhenprofil der EUV-Maske 300 bestimmt werden. Da die dritte Ausführungsform anders als die oben beschriebene zweite Ausführungsform auf einem dreidimensionalen Datensatz fußt, liefert die in Rede stehende dritte Ausführungsform präzisere Änderungen 390, 550 zu den in der ersten Umgebung 150 bestimmten Messdaten 640 als die zweite Ausführungsform.
  • In einer weiteren, vierten Ausführungsform werden die Messdaten 640 zum Bestimmen der Positionen der Pattern-Elemente 360 der EUV-Maske 300 anstatt in der ersten Umgebung 150 in der zweiten Umgebung 350 bestimmt. Der Vorteil dieses Ausführungsform liegt darin, dass eine Änderung der Messdaten 640, beispielsweise der Platzierungsdaten der Pattern-Elemente 360 der EUV-Maske 300 hinsichtlich der Betriebsumgebung der EUV-Maske 300 nicht notwendig ist. Nachteilig ist hingegen an dieser Ausführungsform, dass auch herkömmliche transmissive Photomasken nur in Reflexion gemessen werden können.
  • Anhand der nachfolgenden 9 und 10 wird schließlich das Bestimmen einer OPD auf der Basis der Gleichung (1) diskutiert. Aus dem gemessenen Höhenprofil 850, das in der 8 wiedergegeben ist, wird nun anhand der Auswerteeinheit 660 der Messvorrichtung 600 die Maskenkrümmung (mask bow), die Ebenheit der Maske 300 (englisch: mask flatness) und die rückseitige lokale Steigung der EUV-Maske 300 ermittelt. Die rückseitige lokale Steigung wird im Fachgebiet als local slope bezeichnet. Die 9 zeigt eine Anpassung oder einen Fit zweiter Ordnung ZFit an das gemessene Höhenprofil 850 ZMeas der 8: Z F i t = a + b x + c y + d x y + e x 2 + f y 2 .
    Figure DE102017202945A1_0007
  • Die Krümmung der EUV-Maske 300 (mask bow) ergibt sich aus dem PV-Wert der Anpassung bis zur zweiten Ordnung. In dem in der 9 dargestellten beispielhaften Fit zweiter Ordnung beträgt die Höhendifferenz zwischen über die Oberfläche der EUV-Maske 300 hinweg 107 nm.
  • Die frontseitige Ebenheit ZFront der EUV-Maske 300 (mask flatness) ergibt sich aus der Differenz des gemessenen Höhenprofils und dem oben angegebenen Fit zweiter Ordnung: ZFront = ZMeas - ZFit. Die 10 zeigt die Ebenheit der EUV-Maske 300 nach Abzug der in der 9 angegebenen Anpassung. Die so bestimmte Ebenheit der EUV-Maske 300 weist noch eine Höhendifferenz von 19 nm auf (PV-Wert).
  • Die lokale Steigung ist definiert: l o c a l   s l o p e = Δ Z F r o n t Δ x ,
    Figure DE102017202945A1_0008
    wobei Ax den Abstand der Gitterpunkte des Fits zweiter Ordnung der 9 bezeichnet. Ferner gibt die 10 gibt die Steigungen der einzelnen Rechtecke der Ebenheitsfläche, d.h. den local slope der 9 wieder. Die maximale Steigung in x-Richtung beträgt 1,36 grad und beträgt in y-Richtung 0,66 grad.
  • Schließlich gibt das Flussdiagramm 1100 der 11 einen Überblick über den Ablauf eines Verfahrens zum Transformieren von Messdaten 640 einer photolithographischen Maske 300 für den extrem ultravioletten (EUV)-Wellenlängenbereich von einer ersten Umgebung 150 in eine zweite Umgebung 350. Das Verfahren beginnt bei Schritt 1110. Bei Schritt 1120 werden Messdaten 640 für die photolithographische Maske 300 für den EUV-Wellenlängenbereich in der ersten Umgebung 150 bestimmt, wobei die Messdaten 640 durch Auswirkungen innerer Spannungen auf die photolithographische Maske beeinflusst sind. Hierfür kann beispielsweise die Messvorrichtung 600 eingesetzt werden.
  • Im nächsten Schritt 1130 wird zumindest eine Änderung 390, 450, 550 der Messdaten 640 beim Übergang von der ersten Umgebung 150 in die zweite Umgebung 350 ermittelt, wobei die Auswirkungen der inneren Spannungen auf die photolithographische Maske 300 in der zweiten Umgebung 350 zumindest teilweise kompensiert werden. Dieser Schritt kann beispielsweise durch eine Auswerteeinheit 660 der Messvorrichtung 600 ausgeführt werden.
  • Darüber hinaus werden die im Schritt 1120 bestimmten Messdaten 640 mit der in Schritt 1130 ermittelten zumindest einen Änderung 390, 450, 550 der Messdaten 640 korrigiert. Dieser Schritt kann ebenfalls von der Auswerteeinheit 660 der Messvorrichtung 600 ausgeführt werden. Schließlich endet das Verfahren mit Schritt 1150.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • EP 1829052 B1 [0003]
    • DE 102016204535 [0010]
  • Zitierte Nicht-Patentliteratur
    • „Improvement of defects and flatness on extreme ultraviolet mask blanks”, von T. Shoki et al., J. Micro/Nanolith. MEMS MOEMS 12(2), 021008-1 - 021008-6 (Apr-Jun 2014) [0007]
    • T. Kamo et al., J. Micro/Nanolith. MEMS MOEMS, Vol. 9(2), 023005-1 - 023005-10 (Apr.-Jun. 2010) [0009]
    • „Light-shield border impact on the printability of extreme-ultraviolet mask”, der Autoren T. Kamo et al., J. Micro/Nanolith. MEMS MOEMS, Vol. 10(2) 023001-1 - 023001-9 [0009]
    • „Determination of mask layer stress by placement metrology”, J. Butschke et al., 25th BACUS Symposium on Photomask Technology, Vol. 5992, 59923U, CA, USA, Nov. 8, 2005, S. 1127-1138 [0009]
    • „EUV mask image placement - a numerical study”, E. Cotte et al., EMLC 2005 (European Mask and Lithography Conference)-Beitrag, 31. Jan. - 3. Feb. 2005, Dresden [0009]
    • „Error analysis of overlay compensation methodologies and proposed functional tolerances for EUV photomask flatness”, K. Ballmann et al., Photomask Japan 2016, Proc. of SPIE, Vol. 9984, 99840S [0009]

Claims (20)

  1. Verfahren zum Transformieren von Messdaten (640) einer photolithographischen Maske (300) für den extrem ultravioletten (EUV)-Wellenlängenbereich von einer ersten Umgebung (150) in eine zweite Umgebung (350), wobei das Verfahren die Schritte aufweist: a. Bestimmen der Messdaten (640) für die photolithographische Maske (300) in der ersten Umgebung (150), wobei die Messdaten (640) durch Auswirkungen innerer Spannungen auf die photolithographische Maske (300) beeinflusst sind; b. Ermitteln zumindest einer Änderung (390, 450, 550) der Messdaten (640) beim Übergang von der ersten Umgebung (150) in die zweite Umgebung (350), in der die Auswirkungen der inneren Spannungen auf die photolithographische Maske (300) zumindest teilweise kompensiert werden; und c. Korrigieren der in Schritt a. bestimmten Messdaten (640) mit der in Schritt b. ermittelten zumindest einen Änderung (390, 450, 550) der Messdaten (640).
  2. Verfahren nach Anspruch 1, wobei das Bestimmen der Messdaten (640) das Bestimmen von Koordinaten von Pattern-Elementen (360) auf einer Oberfläche (335) der photolithographischen Maske (300) umfasst und/oder das Bestimmen der Messdaten (640) das Bestimmen einer Oberflächenkontur (850) der photolithographischen Maske (300) umfasst.
  3. Verfahren nach dem vorhergehenden Anspruch, wobei das Bestimmen der Oberflächenkontur (850) der photolithotraphischen Maske (300) gleichzeitig mit dem Bestimmen der Koordinaten von Pattern-Elementen (360) erfolgt oder wobei das Bestimmen der Oberflächenkontur (850) in einer getrennten Messung erfolgt.
  4. Verfahren einem der vorhergehenden Ansprüche, wobei die erste Umgebung (150) eine Messumgebung umfasst, in der die photolithographische Maske (300) durch eine Dreipunktlagerung (140) fixiert ist.
  5. Verfahren nach dem vorhergehenden Anspruch, ferner den Schritt aufweisend: Bestimmen einer Gravitationswirkung der photolithographischen Maske (300) durch eine Finite-Elemente-Simulation und Berücksichtigen der Gravitationswirkung beim Ermitteln der zumindest einen Änderung (450, 550) der Messdaten (640) in Schritt b.
  6. Verfahren nach Anspruch 1, wobei die erste Umgebung (150) eine Messumgebung umfasst, in der die photolithographische Maske (300) durch eine Ansaugvorrichtung (330) fixiert ist.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei die zweite Umgebung (350) eine EUV-Lithographievorrichtung umfasst, in der die photolithographische Maske (330) durch die Ansaugvorrichtung (330) fixiert ist.
  8. Verfahren Anspruch 6 oder 7, wobei die Fixierung der photolihtographischen Maske (330) im Wesentlichen eine ebene Fläche (325) einer rückseitigen Oberfläche (315) der photolithographischen Maske (300) erzeugt.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bestimmen der Messdaten (640) für die photolithographische Maske (300) in der ersten Umgebung (150) umfasst: Bestrahlen der photolithographischen Maske (300) mit einem Photonenstrahl und/oder einem Elektronenstrahl.
  10. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ermitteln der zumindest einen Änderung (390, 550) der Messdaten (640) umfasst: Ermitteln einer Lageänderung einer neutralen Faser (510) der photolithographischen Maske (300) beim Übergang von der ersten Umgebung (150) in die zweite Umgebung (350).
  11. Verfahren nach dem vorhergehenden Anspruch, wobei das Ermitteln der Lageänderung der neutralen Faser (510) das Ermitteln einer Änderung einer Vergrößerung der photolithographischen Maske (300) in der ersten Umgebung (150) bezüglich der zweiten Umgebung (350) umfasst.
  12. Verfahren nach dem vorhergehenden Anspruch, wobei das Ermitteln der Änderung einer Vergrößerung das Bestimmen eines isotropen Vergrößerungsfaktors und/oder das Bestimmen von zumindest zwei Vergrößerungsfaktoren, die eine anisotrope Vergrößerung der photolithographischen Maske (300) in der ersten Umgebung (150) erfassen, umfasst.
  13. Verfahren nach Anspruch 10, wobei das Ermitteln der Lageänderung der neutralen Faser (510) umfasst: Auslesen der Lageänderung der neutralen Faser (510) aus einer Datenbank, die die Lagen der neutralen Fasern (510) verschiedener Maskentypen umfasst.
  14. Verfahren nach Anspruch 10, wobei das Ermitteln der Lageänderung der neutralen Faser (510) umfasst: Ausführen einer Finite-Elemente-Simulation der photolithographischen Maske (300) in der ersten Umgebung (150) zum Bestimmen der Lageänderung der neutralen Faser (510).
  15. Verfahren nach einem der Ansprüche 10-14, wobei das Ermitteln der zumindest einen Änderung (390, 550) der Messdaten (640) umfasst: Bestimmen einer Änderung der Vergrößerung der photolithographischen Maske (300) in der ersten Umgebung (150) bezüglich einer vorgegebenen Vergrößerung.
  16. Verfahren nach einem der Ansprüche 10-15, wobei das Ermitteln der zumindest einen Änderung (390, 550) umfasst: Bestimmen einer Änderung der Vergrößerung der photolithographischen Maske (300) durch eine Vergrößerungskompensation einer Messvorrichtung (600) zum Bestimmen der Lageänderung der neutralen Faser (510) der photolithographischen Maske (300) in der ersten Umgebung (150).
  17. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bestimmen der Messdaten (640) nach einem Herstellen von Pattern-Elementen (360) auf einer Maskenoberfläche (335) erfolgt, und/oder nach Erzeugen zumindest eines Lichtabschirmrandes im aktiven Bereich der photolithographischen Maske (300).
  18. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ermitteln der zumindest einen Änderung (390, 450) der Messdaten (640) umfasst: Bestimmen einer Höhendifferenz einer photolithographischen Maske (300).
  19. Computerprogramm, das Anweisungen enthält, die, wenn sie von einem Computersystem (650) ausgeführt werden, das Computersystem (650) veranlassen, die Verfahrensschritte der Ansprüche 1 bis 18 auszuführen.
  20. Auswerteeinheit (660) für eine Vorrichtung (600) zum Messen einer Platzierung von Pattern-Elementen (360) einer photolithographischen Maske (300) für den extrem ultravioletten (EUV)-Wellenlängenbereich, die ausgebildet ist, die Verfahrensschritte der Ansprüche 1 bis 18 auszuführen.
DE102017202945.5A 2017-02-23 2017-02-23 Verfahren und Vorrichtung zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Bereich von einer ersten Umgebung in eine zweite Umgebung Granted DE102017202945A1 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE102017202945.5A DE102017202945A1 (de) 2017-02-23 2017-02-23 Verfahren und Vorrichtung zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Bereich von einer ersten Umgebung in eine zweite Umgebung
CN201880013737.3A CN110325909B (zh) 2017-02-23 2018-02-06 将euv范围的光刻掩模的测量数据从第一环境变换到第二环境的方法和设备
PCT/EP2018/052883 WO2018153654A1 (en) 2017-02-23 2018-02-06 Method and apparatus for transforming measurement data of a photolithographic mask for the euv range from first surroundings into second surroundings
KR1020197027826A KR102277996B1 (ko) 2017-02-23 2018-02-06 Euv 대역에 대한 포토리소그래피 마스크의 측정 데이터를 제1 주변부로부터 제2 주변부로 변환하는 방법 및 장치
TW107105978A TWI705295B (zh) 2017-02-23 2018-02-22 從第一環境至第二環境以轉換用於euv範圍之光罩之量測資料的方法、電腦程式與評估單元
US16/547,662 US11243464B2 (en) 2017-02-23 2019-08-22 Method and apparatus for transforming measurement data of a photolithographic mask for the EUV range from first surroundings into second surroundings

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102017202945.5A DE102017202945A1 (de) 2017-02-23 2017-02-23 Verfahren und Vorrichtung zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Bereich von einer ersten Umgebung in eine zweite Umgebung

Publications (1)

Publication Number Publication Date
DE102017202945A1 true DE102017202945A1 (de) 2018-08-23

Family

ID=61198835

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017202945.5A Granted DE102017202945A1 (de) 2017-02-23 2017-02-23 Verfahren und Vorrichtung zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Bereich von einer ersten Umgebung in eine zweite Umgebung

Country Status (6)

Country Link
US (1) US11243464B2 (de)
KR (1) KR102277996B1 (de)
CN (1) CN110325909B (de)
DE (1) DE102017202945A1 (de)
TW (1) TWI705295B (de)
WO (1) WO2018153654A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019201497B3 (de) 2019-02-06 2020-06-18 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zum Bestimmen von Platzierungen von Pattern-Elementen einer reflektiven fotolithographischen Maske in deren Betriebsumgebung

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220137500A1 (en) * 2020-10-30 2022-05-05 AGC Inc. Glass substrate for euvl, and mask blank for euvl

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10239858A1 (de) 2002-08-29 2004-03-11 Infineon Technologies Ag Verfahren und Anordnung zur Kompensation von Unebenheiten in der Oberfläche eines Substrates
DE102004010002A1 (de) 2004-03-01 2005-09-29 Infineon Technologies Ag Maskenhalter zum Halten einer lithografischen Maske und Verfahren
DE102006052015A1 (de) 2005-11-04 2007-05-24 NuFlare Technology, Inc., Numazu Positionsmessvorrichtung und Positionsabweichungsmessverfahren
DE102006054820A1 (de) 2006-11-21 2008-05-29 Advanced Mask Technology Center Gmbh & Co. Kg Verfahren zur Korrektur von Platzierungsfehlern

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6324113A (ja) * 1986-03-19 1988-02-01 Toshiba Mach Co Ltd パタ−ン測定方法
WO2000072090A2 (en) * 1999-05-20 2000-11-30 Micronic Laser Systems Ab A method for error reduction in lithography
US7025280B2 (en) 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
JP4480148B2 (ja) 2004-09-24 2010-06-16 大日本印刷株式会社 転写用マスクのip測定装置および静電吸着方式のチャックへの静電吸着用電圧の供給方法
NL1027836C2 (nl) 2004-12-21 2006-06-22 Stichting Fund Ond Material Meerlagenspiegel voor straling in het zachte-röntgen- en XUV-golflengtegebied.
JP4634992B2 (ja) 2005-11-04 2011-02-16 株式会社ニューフレアテクノロジー 位置計測装置及び位置ずれ量計測方法
KR100791338B1 (ko) * 2006-08-07 2008-01-03 삼성전자주식회사 레지스트레이션이 보정된 포토마스크 및 포토마스크의레지스트레이션 보정 방법
JP5335351B2 (ja) * 2008-10-01 2013-11-06 Hoya株式会社 マスクブランク用基板セット、マスクブランクセット、フォトマスクセット、及び半導体デバイスの製造方法
JP6277645B2 (ja) * 2013-09-25 2018-02-14 凸版印刷株式会社 パターン位置計測方法、パターン位置計測装置、及びフォトマスク
DE102016204535A1 (de) 2016-03-18 2017-09-21 Carl Zeiss Smt Gmbh Messmikroskop zur Vermessung von Masken für lithographische Verfahren sowie Messverfahren und Kalibrierverfahren hierfür

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10239858A1 (de) 2002-08-29 2004-03-11 Infineon Technologies Ag Verfahren und Anordnung zur Kompensation von Unebenheiten in der Oberfläche eines Substrates
DE102004010002A1 (de) 2004-03-01 2005-09-29 Infineon Technologies Ag Maskenhalter zum Halten einer lithografischen Maske und Verfahren
DE102006052015A1 (de) 2005-11-04 2007-05-24 NuFlare Technology, Inc., Numazu Positionsmessvorrichtung und Positionsabweichungsmessverfahren
DE102006054820A1 (de) 2006-11-21 2008-05-29 Advanced Mask Technology Center Gmbh & Co. Kg Verfahren zur Korrektur von Platzierungsfehlern

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019201497B3 (de) 2019-02-06 2020-06-18 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zum Bestimmen von Platzierungen von Pattern-Elementen einer reflektiven fotolithographischen Maske in deren Betriebsumgebung
WO2020161235A1 (en) 2019-02-06 2020-08-13 Carl Zeiss Smt Gmbh Device and method for determining placements of pattern elements of a reflective photolithographic mask in the operating environment thereof

Also Published As

Publication number Publication date
WO2018153654A1 (en) 2018-08-30
CN110325909A (zh) 2019-10-11
US20190377256A1 (en) 2019-12-12
CN110325909B (zh) 2023-02-03
TWI705295B (zh) 2020-09-21
KR102277996B1 (ko) 2021-07-16
TW201837599A (zh) 2018-10-16
KR20190117723A (ko) 2019-10-16
US11243464B2 (en) 2022-02-08

Similar Documents

Publication Publication Date Title
DE102013001962B4 (de) Lithographieprozess
DE102014217907B4 (de) Verfahren zum Herstellen einer Maske für den extrem ultra-violetten Wellenlängenbereich und Maske
DE60223102T2 (de) Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
DE102017205629A1 (de) Verfahren und Vorrichtung zum Reparieren von Defekten einer photolithographischen Maske für den EUV-Bereich
DE602005001011T2 (de) Methode zur Bestimmung der Aberration eines Projektionssystems eines Lithographieapparats
DE102017212848A1 (de) Verfahren und Vorrichtung zum Kompensieren von Defekten eines Maskenrohlings
DE102015108569B4 (de) Reflektierende Fotomaske und Reflexionstyp-Maskenrohling
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
DE102019219209A1 (de) Oberflächenprofil-Messeinrichtung zur Vermessung der Spiegel einer abbildenden Optik
DE102014209455B4 (de) Verfahren zur Vermessung einer Lithographiemaske oder eines Masken-Blanks
WO2005040925A1 (de) Euv-projektionsobjektiv mit spiegeln aus materialien mit unterschiedlichem vorzeichen der steigung der temperaturabhängigkeit des wärmeausdehnungskoeffizienten nahe der nulldurchgangstemperatur
DE10360414A1 (de) EUV-Projektionsobjektiv sowie Verfahren zu dessen Herstellung
DE102017202945A1 (de) Verfahren und Vorrichtung zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Bereich von einer ersten Umgebung in eine zweite Umgebung
CN107278280B (zh) 用于检查及量测的方法和设备
DE102019201497B3 (de) Vorrichtung und Verfahren zum Bestimmen von Platzierungen von Pattern-Elementen einer reflektiven fotolithographischen Maske in deren Betriebsumgebung
WO2024002799A1 (de) Verfahren sowie messanordnung zur interferometrischen bestimmung der oberflächenform eines prüflings
DE102013212462A1 (de) Oberflächenkorrektur von Spiegeln mit Entkopplungsbeschichtung
DE10321680B4 (de) Verfahren zur Bestimmung der Güte eines Pellicles
US20080280539A1 (en) Optical component fabrication using amorphous oxide coated substrates
DE102017216458A1 (de) Verfahren zur Herstellung eines Spiegels als optischer Komponente für ein optisches System einer Projektionsbelichtungsanlage für die Projektionslithographie
DE102017216893A1 (de) Abbildende Optik zur Abbildung eines Objektfeldes in ein Bildfeld
DE102022203257A1 (de) Ansteuervorrichtung, optisches system, lithographieanlage und verfahren
DE102021213679A1 (de) Verfahren zum Erzeugen einer lokalen Dickenänderung einer Beschichtung, Spiegel und EUV-Lithographiesystem
DE102019201062A1 (de) Verfahren zur Herstellung eines optischen Systems einer Projektionsbestimmungsanlage für die Projektionslithographie
Bosse et al. Dimensionelle Nanometrologie in der PTB–eine Übersicht (Dimensional Nanometrology at PTB–a Survey)

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0001220000

Ipc: G03F0001720000

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division