DE102016115067A1 - Packaging-Verfahren und zugehörige Package-Struktur - Google Patents

Packaging-Verfahren und zugehörige Package-Struktur Download PDF

Info

Publication number
DE102016115067A1
DE102016115067A1 DE102016115067.3A DE102016115067A DE102016115067A1 DE 102016115067 A1 DE102016115067 A1 DE 102016115067A1 DE 102016115067 A DE102016115067 A DE 102016115067A DE 102016115067 A1 DE102016115067 A1 DE 102016115067A1
Authority
DE
Germany
Prior art keywords
bonding
semiconductor substrate
metal layer
bonding region
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102016115067.3A
Other languages
English (en)
Inventor
Chung-Yi Yu
Chih-Ming Chen
Yuan-Chih Hsieh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016115067A1 publication Critical patent/DE102016115067A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00269Bonding of solid lids or wafers to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00238Joining a substrate with an electronic processing unit and a substrate with a micromechanical structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0035Packages or encapsulation for maintaining a controlled atmosphere inside of the chamber containing the MEMS
    • B81B7/0041Packages or encapsulation for maintaining a controlled atmosphere inside of the chamber containing the MEMS maintaining a controlled atmosphere with techniques not provided for in B81B7/0038
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/007Interconnections between the MEMS and external electrical signals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/0235Accelerometers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/0242Gyroscopes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0264Pressure sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/012Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being separate parts in the same package
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/093Conductive package seal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/019Bonding or gluing multiple substrate layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0118Bonding a wafer on the substrate, i.e. where the cap consists of another wafer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/03Bonding two components
    • B81C2203/033Thermal bonding
    • B81C2203/035Soldering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/03Bonding two components
    • B81C2203/033Thermal bonding
    • B81C2203/036Fusion bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Micromachines (AREA)
  • Pressure Sensors (AREA)

Abstract

Die vorliegende Offenbarung stellt ein Packaging-Verfahren bereit, welches umfasst: Bereitstellen eines ersten Halbleitersubstrats; Ausbilden eines Bond-Bereichs auf dem ersten Halbleitersubstrat, wobei der Bond-Bereich des ersten Halbleitersubstrats eine erste Bond-Metallschicht und eine zweite Bond-Metallschicht aufweist; Bereitstellen eines zweiten Halbleitersubstrats, das einen Bond-Bereich aufweist, wobei der Bond-Bereich des zweiten Halbleitersubstrats eine dritte Bond-Schicht aufweist; und Bonden des ersten Halbleitersubstrats an das zweite Halbleitersubstrats, indem der Bond-Bereich des ersten Halbleitersubstrats in einen Kontakt mit dem Bond-Bereich des zweiten Halbleitersubstrats gebracht wird, wobei die erste und die dritte Bond-Metallschicht Kupfer (Cu) aufweisen und die zweite Bond-Metallschicht Zinn (Sn) aufweist. Es wird auch eine zugehörige Package-Struktur offenbart.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung 62/273,750, eingereicht am 31. Dezember 2015, die durch Nennung insgesamt als aufgenommen angesehen wird.
  • ALLGEMEINER STAND DER TECHNIK
  • In den mikroelektromechanischen Systemen (MEMS) und in Bereichen der Mikroelektronik besteht häufig die Notwendigkeit, Wafer zum Zweck der Verkapselung von Strukturen in Vakuum-Kavitäten oder in Kavitäten mit einer kontrollierten Atmosphäre aneinander zu bonden. Es kann sein, dass derartige Systeme über sehr lange Zeit, meist Jahrzehnte, hinweg betreibbar sein müssen. Es kann auch erwünscht sein, mittels Abdichten eine elektrische Verbindung zwischen den Wafer bereitzustellen.
  • Es ist natürlich absolut unumgänglich, dass die Verbindungen, welche die Wafer zusammenhalten/bonden und welche die effektive Abdichtung der Kavitäten bereitstellen, eine ausreichend gute Abdichtung liefern, die sich im Verlauf der Zeit nicht verschlechtert. Eines der gängigen Mittel für das Bonden ist das eutektische Bonden. Da die moderne Halbleiterstruktur eine immer höhere Empfindlichkeit aufweist, wird die Gesamtwärmebilanz so angespannt, dass eine Bond-Temperatur der existierenden eutektischen Bond-Materialien nicht mehr akzeptiert werden kann. Mit der Entwicklung moderner Prozesse, wie z. B. der MEMS-Strukturen, nimmt insbesondere auch die Presskraft ab, die während des eutektischen Bond-Prozesses angewendet wird.
  • Deshalb entsteht in Bereichen, die in der Halbleiterfertigungsindustrie relevant sind, ein dringender Bedarf an einem neuartigen Bond-Mechanismus, um die oben erwähnten Anforderungen zu erfüllen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die Ausbildungen der vorliegenden Offenbarung sind am besten anhand der nachfolgenden ausführlichen Beschreibung zu verstehen, wenn sie mit den beigefügten Figuren gelesen wird. Es wird angemerkt, dass im Einklang mit der üblichen Vorgehensweise in der Industrie die verschiedenartigen Strukturen nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenartigen Strukturen aus Gründen der Verständlichkeit der Darlegung beliebig vergrößert oder verkleinert sein.
  • Die 111 sind eine Reihe von Querschnittsansichten, welche die Prozessschritte bei der Herstellung einer CMOS-MEMS-Bauelementstruktur darstellen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachfolgende Offenbarung liefert viele unterschiedliche Ausführungsformen oder Beispiele für die Realisierung unterschiedlicher Merkmale der Erfindung. Um die vorliegende Offenbarung zu vereinfachen, werden nachfolgend spezielle Beispiele für Komponenten und Einrichtungen beschrieben. Selbstverständlich sind das nur Beispiele, und sie sind nicht zur Einschränkung vorgesehen. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachfolgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und zweite Merkmal in einem direkten Kontakt ausgebildet werden, und es kann auch Ausführungsformen umfassen, bei denen zwischen dem ersten und zweiten Merkmal zusätzliche Merkmale derart ausgebildet werden können, dass das erste und zweite Merkmal nicht in einem direkten Kontakt stehen können. Außerdem können in der vorliegenden Offenbarung in den verschiedenartigen Beispielen Bezugsziffern und/oder Zeichen wiederholt werden. Diese Wiederholung dient der Vereinfachung und Übersichtlichkeit und gibt von sich aus keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können hier räumliche Relationsbegriffe, wie z. B. ”unterhalb”, ”unten”, ”unterer”, ”darüber”, ”oberer” und dergleichen, der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal (anderen Elementen oder Merkmalen) zu beschreiben, wie in den Figuren dargestellt ist. Die räumlichen Relationsbegriffe sind dazu gedacht, verschiedene Ausrichtungen des Bauelements im Einsatz oder beim Betrieb zusätzlich zu der Ausrichtung zu umfassen, die in den Figuren dargestellt ist. Die Vorrichtung kann auf eine andere Weise ausgerichtet (90 Grad oder in andere Richtungen gedreht) werden, und die hier verwendeten räumlichen Kennzeichnungen können ebenfalls entsprechend interpretiert werden.
  • Ungeachtet dessen, dass die numerischen Bereiche und Parameter, die den großen Gültigkeitsbereich der Erfindung vorgeben, Näherungen sind, werden die numerischen Werte, die in speziellen Beispielen angegeben sind, so genau wie möglich mitgeteilt. Ein beliebiger numerischer Wert schließt jedoch von sich aus bestimmte Fehler ein, die sich zwangsläufig aus der Standardabweichung ergeben, die in den entsprechenden Prüfmessungen gefunden wird. Der hier verwendete Begriff ”circa” bedeutet ferner im Allgemeinen innerhalb 10%, 5%, 1% oder 0,5% von einem gegebenen Wert oder Bereich aus liegend. Alternativ bedeutet der Begriff ”circa” bei einer Verwendung durch einen Fachkundigen innerhalb eines angemessenen Standardfehlers des Mittelwertes liegend. Mit Ausnahme der Betriebs/Funktionsbeispiele oder wenn es nicht ausdrücklich anders angegeben ist, sollten alle numerischen Bereiche, Mengen, Werte und Prozentanteile, wie z. B. solche für Materialmengen, Zeitdauern, Temperaturen, Betriebsbedingungen, Mengenverhältnisse und dergleichen, die davon an dieser Stelle offenbart werden, so verstanden werden, als seien sie in allen Fällen mit dem Begriff ”circa” modifiziert. Wenn es nicht anders angezeigt ist, sind dementsprechend die numerischen Parameter, die in der vorliegenden Offenbarung und den beigefügten Ansprüchen angegeben sind, Näherungen, die wie gewünscht abgeändert werden können. Zumindest sollte jeder numerische Parameter mit Hinblick auf die mitgeteilten signifikanten Ziffern und mittels Anwendung der gewöhnlichen Rundungstechniken interpretiert werden. Bereiche können hierbei von einem Endpunkt zu einem anderen Endpunkt oder zwischen zwei Endpunkten angegeben werden. Alle Bereiche, die hier offenbart sind, schließen die Endpunkte ein, außer wenn es anders angegeben ist.
  • Die vorliegende Erfindung betrifft im Allgemeinen das Bonden, insbesondere das eutektische Bonden. Die nachfolgende Beschreibung wird vorgestellt, um einen Fachkundigen in die Lage zu versetzen, die Erfindung auszuführen und zu verwenden, und sie wird in Verbindung mit einer Patentanmeldung und ihren Erfordernissen bereitgestellt. Für Fachkundige werden verschiedenartige Abwandlungen der bevorzugten Ausführungsform und der hierbei beschriebenen generischen Grundlagen und Merkmale leicht zu erkennen sein. Somit ist nicht beabsichtigt, dass die vorliegende Erfindung auf die dargestellte Ausführungsform beschränkt wird, sondern dass ihr der weiteste Gültigkeitsbereich zuzuordnen ist, der mit den hier beschriebenen Grundlagen und Merkmalen verträglich ist.
  • In den beschriebenen Ausführungsformen beziehen sich die mikroelektromechanischen Systeme (MEMS) auf eine Klasse von Strukturen oder Bauelementen, die unter Verwendung von Prozessen, die an Halbleiter angepasst sind, hergestellt werden und die mechanische Eigenschaften, wie z. B. die Fähigkeit zur Bewegung oder Verformung, aufweisen. Die MEMS Wechselwirken oft, aber nicht immer mit elektrischen Signalen. Die MEMS-Bauelemente umfassen Gyroskope, Beschleunigungsmesser, Magnetometer, Drucksensoren und Funkfrequenzkomponenten, sind aber nicht darauf beschränkt. In einigen Ausführungsformen kann die MEMS-Bauelementstruktur mehrere von den oben erwähnten MEMS-Bauelementen aufweisen. Siliziumwafer, die ein MEMS-Bauelement oder eine MEMS-Bauelementstruktur aufweisen, werden als MEMS-Wafer bezeichnet.
  • In den beschriebenen Ausführungsformen kann ein MEMS-Bauelement auf ein Halbleiterbauelement Bezug nehmen, das als mikroelektromechanisches System ausgeführt ist. Eine MEMS-Bauelementstruktur kann auf ein beliebiges Merkmal Bezug nehmen, das einer Anordnung von mehreren MEMS-Bauelementen zugeordnet ist. Ein ingenieurtechnisch bearbeiteter Silizium-auf-Isolator-Wafer (ESOI) kann auf einen SOI-Wafer mit Kavitäten unter der Siliziumbauelementschicht oder dem Substrat Bezug nehmen. Ein Kappen- oder Handhabungswafer stellt üblicherweise ein dickeres Substrat dar, das als ein Träger für das dünnere Silizium-Messsubstrat in einem Silizium-auf-Isolator-Wafer verwendet wird. Das Kappen- oder Handhabungssubstrat und der Kappen- oder Handhabungswafer können ausgetauscht werden. In den beschriebenen Ausführungsformen kann eine Kavität eine Öffnung oder Vertiefung bezeichnen, und ein Einschluss kann sich auf einen vollständig umschlossenen Raum beziehen.
  • Um die Merkmale der Erfindung ausführlicher zu beschreiben, werden Vorrichtung und Herstellungsverfahren zum Verwirklichen eines MEMS-Bauelements mit Merkmalen offenbart, welche die verbesserte Bond-Temperatur und -Presskraft, die während des Bondens angewendet werden, umfassen.
  • Die 111 sind eine Reihe von Querschnittsansichten, welche die Prozessschritte bei der Herstellung einer MEMS-Bauelementgruppe oder eines MEMS-Bauelements gemäß einer Ausführungsform der vorliegenden Offenbarung darstellen. In 1 sind ein Messsubstrat 104 und ein Kappensubstrat 101 mit einem dünnen dielektrischen Film 103 dazwischen aneinander gebondet, um ein ESOI-Substrat 102 auszubilden. Es wird angemerkt, dass das Messsubstrat 104 und das Kappensubstrat 101 in dem Ausführungsbeispiel der vorliegenden Offenbarung mittels Fusions-Bonden bei verhältnismäßig hohen Prozesstemperaturen aneinander gebondet werden, was ein vollständigeres Beseitigen von chemischen Spezies aus den dielektrischen Materialien in den Substraten vor dem Abdichten von Kavitäten der MEMS-Strukturen erlaubt. Beide Substrate werden während des Bondens getempert, was das Ausgasen von chemischen Spezies während des Kavitätsausbildungsprozesses verringert. Die MEMS-Strukturen, die durch Fusions-Bonden gebondet wurden, sind im Vergleich mit einem Metall-Bonden wegen eines höheren Bond-Verhältnisses mechanisch fester. Außerdem erlaubt das Fusions-Bonden das Ausbilden von Durchkontaktierungen durch das Substrat (TSV) in den MEMS-Strukturen, ohne die Ausbeute zu verschlechtern. Der Grundgedanke der vorliegenden Offenbarung ist jedoch nicht darauf beschränkt. Ein Fachkundiger würde viele Veränderungen, Abwandlungen und Alternativen erkennen. Der Grundgedanke der vorliegenden Offenbarung kann in einigen Ausführungsformen auch auf andere Typen der MEMS-Bauelementanordnung angewendet werden.
  • Der dünne dielektrische Film 103 weist Materialien, wie z. B. Siliziumoxid oder eine andere Isolationsschicht, auf. Entlang einer Fläche des Kappensubstrats 101 werden mehrere Kavitäten 112 mit gewünschten Größen festgelegt und zum Beispiel durch isotropes Ätzen darauf ausgeformt, was aber keine Beschränkung der vorliegenden Offenbarung darstellt. Ein Fachkundiger würde viele Veränderungen, Abwandlungen und Alternativen erkennen. Die mehreren Kavitäten 112 werden verwendet, um eine danebenliegende bewegliche seismische Masse eines herzustellenden MEMS-Bauelements unterzubringen. Die Größe einer jeden Kavität 112 kann entsprechend der danebenliegenden beweglichen seismischen Masse und/oder der gewünschten Leistungsfähigkeit des MEMS-Bauelements festgelegt werden. In einigen Ausführungsformen kann jede Kavität 112 eine andere Tiefe oder Abmessung als die anderen Kavitäten aufweisen.
  • Das Messsubstrat 104 wird dann unter Verwendung eines Abschleif- und/oder anderen Dickenverringerungsprozesses abgetragen, um die gewünschte Dicke zu erreichen, wie in 2 dargestellt ist. Um die gewünschte Dicke zu erreichen, können vorhandene Dickenverringerungstechniken, wie das chemisch-mechanische Planarisieren (CMP) und/oder das reaktive Ionenätzen (RIE), verwendet werden. Für den Dickenverringerungsprozess können geeignete Abschleif- und Poliergeräte verwendet werden. Ein Fachkundiger würde viele Veränderungen, Abwandlungen und Alternativen erkennen. In einigen anderen Ausführungsformen ist in das Messsubstrat 104 eine Ätzstoppschicht integriert, um die Präzisionssteuerung des Dickenverringerungsprozesses zu unterstützen. Ein Fachkundiger würde viele Veränderungen, Abwandlungen und Alternativen erkennen.
  • Mit Bezugnahme auf 3 wird dann eine Metallschicht 302 auf dem Messsubstrat 104 abgeschieden. In dieser Ausführungsform weist die Metallschicht 302 eine Kupfer(Cu)-Schicht auf. Insbesondere weist die Metallschicht 302 unter der Cu-Schicht eine dünne Titan(Ti)-Schicht auf. In einigen Ausführungsformen wird die Metallschicht 302 unter Verwendung eines Elektroplattierungsvorgangs, eines physikalischen Gasphasenabscheidung(PVD)-Prozesses oder eines chemischen Gasphasenabscheidungs(CVD)-Prozesses abgeschieden. Ein Fachkundiger würde viele Veränderungen, Abwandlungen und Alternativen erkennen. Mit Bezugnahme auf 4 wird dann auf der Metallschicht 302 eine weitere Metallschicht 304 abgeschieden, die sich von der Metallschicht 302 unterscheidet. In dieser Ausführungsform weist die Metallschicht 304 eine Zinn(Sn)-Schicht auf. In einigen Ausführungsformen wird die Metallschicht 304 unter Verwendung des Elektroplattierungsvorgangs, des physikalischen Gasphasenabscheidung(PVD)-Prozesses oder des chemischen Gasphasenabscheidungs(CVD)-Prozesses abgeschieden. Ein Fachkundiger würde viele Veränderungen, Abwandlungen und Alternativen erkennen.
  • Der nächste Schritt, der in 5 dargestellt ist, besteht in dem Strukturieren und Ätzen der Metallschichten 302 und 304 gemäß einer Struktur des herzustellenden MEMS-Bauelements. Der Strukturierungs- und Ätz-Arbeitsgang hat zu Folge, dass mehrere Bond-Bereiche 402' und 404' für das Bonden in den nachfolgenden Schritten ausgebildet werden, so z. B. für das eutektische Bonden, das in dem Ausführungsbeispiel eingesetzt wird. Insbesondere weist jeder Bond-Bereich 402' die Metallschichten 402 und 406 auf, und jeder Bondbereich 404' weist die Metallschichten 404 und 408 auf, wobei die Metallschichten 406 und 408 als Bond-Hilfsmetallschichten während des Bond-Arbeitsgangs zum Ausbilden des eutektischen Bonds angesehen werden.
  • In diesem Prozessablauf wird ein fotolithografischer Prozess, in dem eine Fotolackschicht auf der Metallschicht 304 abgeschieden und strukturiert wird, um eine Ätzmaske auszubilden, der Übersichtlichkeit halber nicht dargestellt. Die Abmessungen der Ätzmaske können während der Fotolithografie streng kontrolliert werden, und die Ätzmaske kann aus einem beliebigen geeigneten Material ausgebildet werden, das widerstandsfähig gegen den Ätzprozess ist, der zum Ätzen der Metallschichten verwendet wird. In einigen Ausführungsformen wird eine Ätzmaske aus Siliziumnitrid (Si3N4) eingesetzt. In einigen anderen Ausführungsformen kann eine Fotolackschicht als die Ätzmaske dienen. Ein Fachkundiger würde viele Veränderungen, Abwandlungen und Alternativen erkennen. Obwohl in 5 ein eindimensionaler Querschnitt dargestellt ist, ist es für einen Fachkundigen offensichtlich, dass in den Metallschichten 302 und 304 eine zweidimensionale Struktur der gewünschten Geometrie ausgebildet wird. In einigen Ausführungsformen können die Bond-Bereiche 402' und 404' ferner Nickel (Ni), Germanium (Ge), Aluminium (Al) enthalten. In anderen Ausführungsformen können für die Bond-Bereiche andere Materialien, wie z. B. Gold (Au), Indium (In), oder andere Lötsubstanzen, die gut an darunterliegenden Schichten haften und eine verbesserte Benetzungsfähigkeit aufweisen, verwendet werden.
  • An dem Messsubstrat 104 wird selektiv ein erstes Flachkavitäts-Ätzen ausgeführt. Während des ersten Flachkavitäts-Ätzens werden flache Kavitäten ausgebildet, um eine gewisse Tiefe zu erreichen, die von einer Oberfläche des Messsubstrats 104 von 5 aus gemessen wird. Nach dem ersten Flachkavitäts-Ätzen bleiben mehrere Erstschritt-Bond-Mesas 502 und 504 übrig und ragen aus einer geätzten Oberfläche des Messsubstrats 104 heraus, wie aus 6 ersichtlich ist. Um genauer zu sein, die mehreren Erstschritt-Bond-Mesas 502 und 504 liegen unter den Bond-Bereichen 402' und 404'. Die mehreren Erstschritt-Bond-Mesas 502 und 504 tragen die leitfähigen Bond-Bereiche 402' und 404', um eine Stapelstruktur auszubilden. In dem Ausführungsbeispiel kann die Breite der mehreren Erstschritt-Bond-Mesas 502 und 504 im Wesentlichen gleich oder größer als die Bond-Bereiche 402' und 404' sein. Die Seitenwände der mehreren Erstschritt-Bond-Mesas 502 und 504 können senkrecht oder abgeschrägt sein. Ein Fachkundiger würde viele Veränderungen, Abwandlungen und Alternativen erkennen.
  • Das Messsubstrat 104 wird dann strukturiert und geätzt, um das Messsubstrat auszubilden, wie es in 7 dargestellt ist. Das Messsubstrat weist eine balancierte oder nicht balancierte seismische Masse auf, die durch mindestens eine Feder oder elastische Vorrichtung aufgehängt ist und die sich in mindestens einer von der x-, y- und z-Richtung frei bewegen kann, wobei mindestens eine Elektrode in der mindestens einen Feder oder elastischen Vorrichtung eingelagert ist. Die mindestens eine Feder oder elastischen Vorrichtung ist an einer Stützstruktur befestigt, die an dem Messsubstrat 104 befestigt ist. Die seismische Masse, die Stützstruktur und die mindestens eine Elektrode werden in den gleichen Halbleiterschichten wie die Antriebs/Mess-Schaltungen ausgebildet. In einigen Ausführungsformen bilden die mindestens eine Feder oder elastische Vorrichtung und die Stützstruktur ein Netzwerk von Abstützungen. Die seismische Masse, die mittels des Netzwerkes von Abstützungen aufgehängt ist, ist frei, sich in eine beliebige Richtung zu bewegen. Das MEMS misst oder erzeugt die Bewegung der seismischen Masse kapazitiv in jeder beliebigen Richtung. In einigen Ausführungsformen kann die Richtung eine Richtung entlang mindestens einer von der x-, y- und z-Richtung umfassen.
  • In einigen Ausführungsformen können die Strukturierungs- und Ätztechniken, die zum Ausbilden des Messsubstrats verwendet werden, in Abhängigkeit vom Typ des MEMS-Bauelements variieren. Zum Beispiel unterscheiden sich das Strukturieren und Ätzen für einen MEMS-Beschleunigungsmesser von dem Strukturieren und Ätzen, die für ein MEMS-Gyroskop eingesetzt werden. Es können vorhandene Ätztechniken verwendet werden, die ähnlich dem anisotropen Ätzen, dem RIE und dergleichen sind. In einigen Ausführungsformen kann die Dicke des Messsubstrats 104 als eine Funktion der Lage entlang der Länge des Messsubstrats veränderlich sein, wobei die Länge entlang einer Richtung definiert ist, die senkrecht zur Dicke des Substrats ist. Zum Beispiel kann das Messsubstrat 104 an dem einen Ende eine erste Dicke, in der Mitte eine zweite Dicke und an dem anderen Ende eine dritte Dicke aufweisen.
  • Als nächstes werden, wie in 8A dargestellt ist, das ESOI-Substrat 102 und ein komplementärer Metall-Oxid-Halbleiter(CMOS)-Wafer 106 vorgereinigt und dann vor dem eutektischen Bonden ausgerichtet. In der vorliegenden Offenbarung kann ein CMOS-Wafer als ein CMOS-Substrat bezeichnet werden. Der CMOS-Wafer 106 kann ein Substrat 812 aufweisen. Das Substrat 812 kann ein Halbleitermaterial, wie z. B. Silizium aufweisen, obwohl andere Halbleitermaterialien verwendet werden können. An einer Fläche des Substrats 812 werden mehrere CMOS-Bauelemente 814 (wie z. B. Transistoren) ausgebildet. Darüber hinaus wird eine Verschaltungsstruktur 816 ausgebildet, um die CMOS-Bauelemente 814 elektrisch zu verbinden. Die Verschaltungsstruktur 816 kann dielektrische Schichten aufweisen, welche ferner low-k-dielektrische Schichten, nicht-low-k-dielektrische Schichten, wie z. B. Passivierungsschichten, und dergleichen umfassen können. In den dielektrischen Schichten werden metallische Leitungen und Durchkontaktierungen ausgebildet, die aus Kupfer, Aluminium und Kombinationen davon geformt sein können.
  • Eine dielektrische Deckschicht 810 der Verschaltungsstruktur 816 wird strukturiert, und in der dielektrischen Deckschicht 810 werden mehrere Öffnungen einschließlich von Öffnungen 802 und 804, die den Bond-Bereichen 402' und 404' entsprechen, ausgebildet. Im Ergebnis liegen Bondbereiche 806 und 808 frei. Im Vergleich zu einem Bond-Metall der mehreren Bondbereiche 806 und 808 des CMOS-Substrats 106 weist die dielektrische Schicht 810 ein anderes Schmelzverhalten auf. In dem Ausführungsbeispiel weisen die Bond-Bereiche 806 und 808 eine Cu-Schicht auf. Insbesondere weisen die Bondbereiche 806 und 808 ferner eine dünne Ti-Schicht unter der Cu-Schicht auf. Das stellt jedoch keine Einschränkung der vorliegenden Erfindung dar.
  • In einigen anderen Ausführungsformen wird ferner eine weitere Metallschicht, die sich von dem Bond-Metall der Bond-Bereiche 806 und 808 unterscheidet, auf den Bond-Bereiche 806 und 808 für das eutektische Bonden ausgebildet. Die auf den Bond-Bereichen 806 und 808 ausgebildete Metallschicht weist das Metall auf, welches das gleiche wie das der Metallschichten 406 und 408 ist. In 8B ist eine Ausführungsform dargestellt, bei der Metallschichten 406' und 408' auf die entsprechenden Bond-Bereiche 806 und 808 elektroplattiert werden. In noch einigen weiteren Ausführungsformen, welche die gleichen oder ähnliche wie in 8B sind, wird für das eutektische Bonden auf den Bond-Bereichen 806 und 808 ferner eine weitere Metallschicht ausgebildet, die sich von dem Bond-Metall der Bond-Bereiche 806 und 808 unterscheidet, wobei aber die Metallschichten 406 und 408 von 8B weggelassen werden. Eine Ausführungsform ist in 8C dargestellt, bei der Sn-Schichten 406' und 408' auf die entsprechenden Bond-Bereiche 806 und 808 elektroplattiert werden und die Bond-Bereiche des Messsubstrats 104 nur die Metallschichten 402 und 404 aufweisen.
  • Danach werden die Bond-Bereiche 402' und 404' (oder die Metallschichten 402 und 404 für 8C) des ESOI-Substrats 102 durch die Öffnungen 802 und 804 des CMOS-Wafers 106 hindurch in einen Kontakt mit den Bond-Bereichen 806 und 808 (oder den Metallschichten 406' und 408' für die 8B und 8C) gebracht. Während des Bondens werden sowohl das ESOI-Substrat 102 als auch der CMOS-Wafer 106 erhitzt, und es wird eine Presskraft angewendet, um das ESOI-Substrat 102 und den CMOS-Wafer 106 gegeneinander zu pressen, während die Temperatur erhöht ist. Mit anderen Worten, die Bond-Grenzfläche zwischen dem ESOI-Substrat 102 und dem CMOS-Wafer 106 wird erwärmt und einer Presskraft ausgesetzt, um das Metall, das in den Bond-Bereichen 402' und 404' (oder den Metallschichten 402 und 404 für 8C) und den entsprechenden Bond-Bereichen 806 und 808 (und den Metallschichten 406' und 408' für die 8B und 8C) des CMOS-Wafers 106 vorhanden ist, aufzuschmelzen. Die Presskraft wird auf das ESOI-Substrat 102 gegen den CMOS-Wafer 106 und/oder auf den CMOS-Wafer 106 gegen das ESOI-Substrat 102 angewendet, um so hermetische Abdichtungen zu erzeugen.
  • Das Aufschmelzen des Metalls ergibt eine Fusions-Bondstruktur, die einen ohmschen Kontakt zwischen dem ESOI-Substrat 102 und dem CMOS-Wafer 106 liefert. In dem Ausführungsbeispiel weist der Bond zwischen dem ESOI-Substrat 102 und dem CMOS-Wafer 106 einen eutektischen Cu-Sn-Bond auf. Damit entfällt die Notwendigkeit, einen separaten elektrischen Pfad für die Signale zwischen dem Messsubstrat und dem CMOS-Wafer 106 bereitzustellen. Eutektische Reaktionen sind ein Tripelpunkt im Phasendiagramm, bei dem sich Feststofflegierungsgemische direkt in eine flüssige Phase umwandeln. Die eutektische Schmelztemperatur für den Cu-Sn-Bond ist circa 231 Grad Celsius; um eine angemessene oder ausreichende eutektische Reaktion für den Cu-Sn-Bond zu gewährleisten, kann eine Bond-Temperatur, die während des eutektischen Bond-Vorgangs vorgesehen wird, höher als die eutektische Temperatur sein. In dieser Ausführungsform kann eine Bond-Temperatur, die während des eutektischen Bond-Prozesses vorgesehen wird, in einem Bereich von circa 240 Grad Celsius bis zu circa 300 Grad Celsius liegen, mit einer Presskraft, die circa 1 MPa bis zu circa 2 MPa pro Flächeneinheit oder kleiner ist. Das ist jedoch keine Einschränkung der vorliegenden Offenbarung.
  • Im Vergleich zu den existierenden eutektischen Bonds weist das offenbarte eutektische Cu-Sn-Bonden durch Verwendung eines eutektischen Cu-Sn-Bonds eine niedrigere Bond-Temperatur und eine niedrigere Bond-Presskraft auf. Insbesondere umfassen einige existierende eutektische Bonds den Au-In-Bond, den Au-Sn-Bond, den Au-Ge-Bond, den Au-Si-Bond und den Al-Ge-Bond, wobei der Au-Sn-Bond, der Au-Ge-Bond, der Au-Si-Bond und der Al-Ge-Bond alle eine hohe Bond-Temperatur erfordern. Der Au-Sn-Bond weist eine eutektische Schmelztemperatur von circa 280 Grad Celsius auf und erfordert eine Bond-Temperatur in einem Bereich von circa 280 Grad Celsius bis zu circa 310 Grad Celsius. Der Au-Ge-Bond weist eine eutektische Schmelztemperatur von circa 361 Grad Celsius auf und erfordert eine Bond-Temperatur in einem Bereich von circa 380 Grad Celsius bis zu circa 400 Grad Celsius. Der Au-Si-Bond weist eine eutektische Schmelztemperatur von circa 363 Grad Celsius auf und erfordert eine Bond-Temperatur in einem Bereich von circa 390 Grad Celsius bis zu circa 415 Grad Celsius. Der Al-Ge-Bond weist eine eutektische Schmelztemperatur von circa 419 Grad Celsius auf und erfordert eine Bond-Temperatur in einem Bereich von circa 430 Grad Celsius bis zu circa 450 Grad Celsius.
  • Der Au-In-Bond weist zwar eine eutektische Schmelztemperatur von circa 156 Grad Celsius auf und erfordert eine Bond-Temperatur in einem Bereich von circa 180 Grad Celsius bis zu circa 210 Grad Celsius, was nicht höher als bei dem offenbarten Cu-Sn-Bond ist. Der Au-In-Bond wird jedoch als unvereinbar mit dem standardmäßigen CMOS-Prozess angesehen. Außerdem weisen der Au-In-Bond, der Au-Sn-Bond, der Au-Ge-Bond, der Au-Si-Bond und der Al-Ge-Bond alle ein Presskraft auf, die über circa 10 MPa pro Flächeneinheit liegt, welche sich bei einem technischen Schwund als nicht anwendbar erweisen kann.
  • Beim Abkühlen wird eine Mikrostruktur ausgebildet, wie sie in 9 dargestellt ist, die sowohl fest als auch hermetisch dicht ist. Eutektische Metallzusammensetzungen weisen als Abdichtmaterialien einige Vorteile auf, zu denen die Fähigkeit zum präzisen Abscheiden und Festlegen der Metalle in gewünschten Strukturen, die Verträglichkeit gegenüber Oberflächenmaßabweichungen, Rauigkeit und Partikeln plus die ihnen eigene Dichtheit und Leitfähigkeit gehören. Der hermetische Abschluss, der Grad der Luftdichtheit für ein Gefäß oder ein Package, ist für die MEMS-Packages vorteilhaft, weil der mechanische und elektrische Funktionsumfang des Bauelements im Package üblicherweise von der kritischen Umgebungskontrolle abhängt. Eine Veränderung in der Atmosphäre im Inneren des Package kann eine Veränderung der Leistungsfähigkeit oder sogar einen Totalausfall des Bauelements mit sich bringen.
  • Für die Ausführungsform, die gemäß 8A konfiguriert ist, wird in 9 durch die Metallschicht 406 und mindestens einen Teil der Metallschichten 402 und 806 eine Legierung 1006 ausgebildet, und auf ähnliche Weise wird durch die Metallschicht 408 und mindestens einen Teil der Metallschichten 404 und 808 eine Legierung 1008 ausgebildet. Für die Ausführungsform, die gemäß 8B konfiguriert ist, wird in 9 durch die Metallschichten 406, 406' und mindestens einen Teil der Metallschichten 402 und 806 eine Legierung 1006 ausgebildet, und auf ähnliche Weise wird durch die Metallschicht 408, 408' und mindestens einen Teil der Metallschichten 404 und 808 eine Legierung 1008 ausgebildet. Für die Ausführungsform, die gemäß 8C konfiguriert ist, wird in 9 durch die Metallschicht 406' und mindestens einen Teil der Metallschichten 402 und 806 eine Legierung 1006 ausgebildet, und auf ähnliche Weise wird durch die Metallschicht 408' und mindestens einen Teil der Metallschichten 404 und 808 eine Legierung 1008 ausgebildet. Insbesondere sind die Metallschichten 406, 408, 406' und 408' eine im Wesentlichen vollständige Reaktion mit den darüber oder darunter liegenden Metallschichten 402, 404, 802 und 804 eingegangen.
  • In dem Ausführungsbeispiel weisen die Legierungen 1006 und 1008 Cu3Sn auf. Es ist zulässig, dass nach dem Bonden etwas Cu übrigbleibt, das keine Reaktion eingegangen ist. Es ist aber nicht erwünscht, dass nach dem Bonden etwas Sn übrigbleibt, das keine Reaktion eingegangen ist, weil Sn weniger stabil als Cu und Cu3Sn ist. Um das Sn in den Metallschichten 406, 408, 406' und 408' vollständig aufzubrauchen, kann eine Dicke der Metallschichten 406, 408, 406' und 408' entsprechend einer Dicke der Metallschichten 402, 404, 802 und 804 über oder unter den Metallschichten 406, 408, 406' und 408' vorgegeben werden.
  • In einem nachfolgenden Schritt wird ein Pad-Öffnungsschritt ausgeführt. Die Teile 902 und 904 des Kappensubstrats 101 werden zum Beispiel in einem Ätzschritt oder einem Herausschleifschritt beseitigt, wie in 10 dargestellt ist. Die entstehende Struktur ist in 11 dargestellt. Die Bond-Pads 1002 und 1004 im CMOS-Substrat 106 sind folglich nicht mehr vom ESOI-Substrat 102 abgedeckt. Die Bond-Pads 1002 und 1004 liegen vom CMOS-Substrat 106 aus frei, um außen liegende Bonds oder eine Verdrahtung aufzunehmen. In einigen Ausführungsformen ist das Ätzen anisotrop, und somit sind die Kanten des Kappensubstrats 101 von 11 im Wesentlichen gerade. Alternativ können die Teile 902 und 904 durch einen Herausschleifschritt entfernt werden, wobei eine Schleifscheibe oder -Platte verwendet wird, um die Teile 902 und 904 wegzuschleifen. In einigen Ausführungsformen kann die Dicke des Messsubstrats 104 unter Verwendung eines Abschleif- und/oder anderen Abtrage-Prozesses verringert werden, um eine gewünschte Dicke zu erreichen, bevor die Ausbildung des MEMS-Bauelemente aufweisenden Package abgeschlossen ist.
  • Die vorliegende Offenbarung stellt ein Verfahren bereit, um ein verbessertes Bonden einer Wafer-Level-Package (WLP) zu erzeugen, die in einem großen Umfang in dreidimensionalen(3D) IC, Chip-Scale-Package (CSP) und MEMS-Bauelementanordnungen eingesetzt wird. Das offenbarte Verfahren, welches das Bonden und zugehörige Probleme betrifft, ist nicht auf das WLP oder die 3D-IC, das CSP und MEMS-Bauelemente beschränkt. Der offenbarte Bond-Prozess kann in den standardmäßigen CMOS-Prozess integriert werden, sodass sich eine vereinfachte, kostengünstige Lösung ergibt. Im Vergleich zu den existierenden eutektischen Bonds weist der offenbarte eutektische Cu-Sn-Bond durch Verwenden eines eutektischen Cu-Sn-Bonds eine niedrigere Bond-Temperatur und eine niedrigere Bond-Presskraft auf.
  • Einige Ausführungsformen der vorliegenden Offenbarung stellen ein Packaging-Verfahren bereit. Das Packaging-Verfahren umfasst: Bereitstellen eines ersten Halbleitersubstrats; Ausbilden eines Bond-Bereichs auf dem ersten Halbleitersubstrat, wobei der Bond-Bereich des ersten Halbleitersubstrats eine erste Bond-Metallschicht und eine zweite Bond-Metallschicht aufweist; Bereitstellen eines zweiten Halbleitersubstrats, das einen Bond-Bereich aufweist, wobei der Bond-Bereich des zweiten Halbleitersubstrats eine dritte Bond-Schicht aufweist; und Bonden des ersten Halbleitersubstrats an das zweite Halbleitersubstrats, indem der Bond-Bereich des ersten Halbleitersubstrats in einen Kontakt mit dem Bond-Bereich des zweiten Halbleitersubstrats gebracht wird, wobei die erste und die dritte Bond-Metallschicht Kupfer (Cu) aufweisen und die zweite Bond-Metallschicht Zinn (Sn) aufweist.
  • Einige Ausführungsformen der vorliegenden Offenbarung stellen ein Packaging-Verfahren bereit. Das Packaging-Verfahren umfasst: Bereitstellen eines ersten Halbleitersubstrats; Ausbilden eines Bond-Bereichs auf dem ersten Halbleitersubstrat, wobei der Bond-Bereich des ersten Halbleitersubstrats eine erste Bond-Metallschicht aufweist; Bereitstellen eines zweiten Halbleitersubstrats, das einen Bond-Bereich aufweist, wobei der Bond-Bereich des zweiten Halbleitersubstrats eine zweite Bond-Schicht aufweist; Bonden des Bond-Bereichs des ersten Halbleitersubstrats mit dem Bond-Bereich des zweiten Halbleitersubstrats unter Verwendung eines Bond-Hilfsmetalls, und Anwenden einer Presskraft von circa 1 MPa bis zu circa 2 MPa pro Flächeneinheit oder darunter, um das erste und zweite Halbleitersubstrat gegeneinander zu pressen.
  • Einige Ausführungsformen der vorliegenden Offenbarung stellen eine Package-Struktur bereit. Die Package-Struktur umfasst ein erstes Halbleitersubstrat mit einem ersten Bond-Bereich darauf und ein zweites Halbleitersubstrat mit einem zweiten Bond-Bereich darauf, wobei der erste Bond-Bereich mit dem zweiten Bond-Bereich gebondet ist und eine Bond-Grenzfläche zwischen dem ersten Bond-Bereich und dem zweiten Bond-Bereich Cu3Sn aufweist.
  • Vorangehend wurden Strukturen verschiedener Ausführungsformen kurz dargestellt, sodass Fachkundige die Ausbildungen der vorliegenden Offenbarung besser verstehen können. Fachkundige sollten anerkennen, dass sie die vorliegende Offenbarung leicht als eine Grundlage dafür einsetzen können, andere Prozesse und Strukturen zu konzipieren oder abzuwandeln, um die gleichen Zielstellungen zu realisieren und/oder die gleichen Vorteile der hier dargelegten Ausführungsformen zu erreichen. Fachkundige sollten auch erkennen, dass derartige gleichwertige Konstruktionen nicht vom Grundgedanken und Umfang der vorliegenden Offenbarung abweichen und dass sie hierin verschiedenartige Veränderungen, Ersetzungen und Abwandlungen erzeugen können, ohne vom Grundgedanken und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Packaging-Verfahren, umfassend: Bereitstellen eines ersten Halbleitersubstrats; Ausbilden eines Bond-Bereichs auf dem ersten Halbleitersubstrat, wobei der Bond-Bereich des ersten Halbleitersubstrats eine erste Bond-Metallschicht und eine zweite Bond-Metallschicht aufweist; Bereitstellen eines zweiten Halbleitersubstrats, das einen Bond-Bereich aufweist, wobei der Bond-Bereich des zweiten Halbleitersubstrats eine dritte Bond-Schicht aufweist; und Bonden des ersten Halbleitersubstrats an das zweite Halbleitersubstrats, indem der Bond-Bereich des ersten Halbleitersubstrats in Kontakt mit dem Bond-Bereich des zweiten Halbleitersubstrats gebracht wird, wobei die erste und die dritte Bond-Metallschicht Kupfer (Cu) aufweisen und die zweite Bond-Metallschicht Zinn (Sn) aufweist.
  2. Verfahren nach Anspruch 1, ferner umfassend: Vorsehen einer Bond-Temperatur in einem Bereich von circa 240 Grad Celsius bis circa 300 Grad Celsius.
  3. Verfahren nach Anspruch 1 oder 2, ferner umfassend: Anwenden einer Presskraft von circa 1 MPa bis circa 2 MPa pro Flächeneinheit oder darunter, um das erste und zweite Halbleitersubstrat gegeneinander zu pressen.
  4. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend, dass das Sn, das in der zweiten Bond-Metallschicht vorhanden ist, mit dem Cu, das in der ersten und dritten Bond-Metallschicht vorhanden ist, zur Reaktion gebracht wird, um Cu3Sn auszubilden, bis das Sn im Wesentlichen vollständig aufgebraucht ist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das erste Halbleitersubstrat eine Vorrichtung mit mikroelektromechanischen Systemen (MEMS) aufweist.
  6. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Entfernen eines Teils der ersten Halbleitersubstrate.
  7. Packaging-Verfahren, umfassend: Bereitstellen eines ersten Halbleitersubstrats; Ausbilden eines Bond-Bereichs auf dem ersten Halbleitersubstrat, wobei der Bond-Bereich des ersten Halbleitersubstrats eine erste Bond-Metallschicht aufweist; Bereitstellen eines zweiten Halbleitersubstrats, das einen Bond-Bereich aufweist, wobei der Bond-Bereich des zweiten Halbleitersubstrats eine zweite Bond-Schicht aufweist; Bonden des Bond-Bereichs des ersten Halbleitersubstrats mit dem Bond-Bereich des zweiten Halbleitersubstrats unter Verwendung eines Bond-Hilfsmetalls, und Anwenden einer Presskraft von circa 1 MPa bis circa 2 MPa pro Flächeneinheit oder darunter, um das erste und zweite Halbleitersubstrat gegeneinander zu pressen.
  8. Verfahren nach Anspruch 7, wobei die erste und zweite Bond-Metallschicht Kupfer (Cu) aufweisen.
  9. Verfahren nach Anspruch 7 oder 8, wobei die Bond-Hilfsmetallschicht Zinn (Sn) aufweist.
  10. Verfahren nach einem der Ansprüche 7 bis 9, ferner umfassend: Vorsehen einer Bond-Temperatur in einem Bereich von circa 240 Grad Celsius bis circa 300 Grad Celsius.
  11. Verfahren nach einem der Ansprüche 7 bis 10, ferner umfassend, dass das Sn, das in der Bond-Hilfsmetallschicht vorhanden ist, mit dem Cu, das in der ersten und zweiten Bond-Metallschicht vorhanden ist, zur Reaktion gebracht wird, um Cu3Sn auszubilden, bis das Sn im Wesentlichen vollständig aufgebraucht ist.
  12. Verfahren nach einem der Ansprüche 7 bis 11, wobei das Bonden des Bond-Bereichs des ersten Halbleitersubstrats mit dem Bond-Bereich des zweiten Halbleitersubstrats unter Verwendung der Bond-Hilfsmetallschicht umfasst: Aufbringen der Bond-Hilfsmetallschicht auf die erste Bond-Metallschicht vor dem Bonden.
  13. Verfahren nach einem der Ansprüche 7 bis 12, wobei das Bonden des Bond-Bereichs des ersten Halbleitersubstrats mit dem Bond-Bereich des zweiten Halbleitersubstrats unter Verwendung der Bond-Hilfsmetallschicht umfasst: Aufbringen der Bond-Hilfsmetallschicht auf die zweite Bond-Metallschicht vor dem Bonden.
  14. Verfahren nach einem der Ansprüche 7 bis 13, wobei das Ausbilden des Bond-Bereichs auf dem ersten Halbleitersubstrat umfasst: Abscheiden der ersten Bond-Metallschicht auf dem ersten Halbleitersubstrat; und Ätzen eines Teils der ersten Bond-Metallschichten zum Ausbilden des Bond-Bereichs.
  15. Verfahren nach einem der Ansprüche 7 bis 14, ferner umfassend: Ätzen des ersten Halbleitersubstrats zum Ausbilden einer Bond-Mesa.
  16. Verfahren nach einem der Ansprüche 7 bis 15, wobei das zweite Halbleitersubstrat eine Öffnung auf einer Fläche desselben aufweist und eine Metallschicht im zweiten Halbleitersubstrat durch die Öffnung hindurch freigelegt wird, um den Bond-Bereich des zweiten Halbleitersubstrats auszubilden.
  17. Verfahren nach einem der Ansprüche 7 bis 16, wobei das erste Halbleitersubstrat eine Vorrichtung mit mikroelektromechanischen Systemen (MEMS) aufweist.
  18. Verfahren nach einem der Ansprüche 7 bis 17, ferner umfassend: Entfernen eines Teils der ersten Halbleitersubstrate.
  19. Package-Struktur mit: einem ersten Halbleitersubstrat mit einem ersten Bond-Bereich darauf; und einem zweiten Halbleitersubstrat mit einem zweiten Bond-Bereich darauf, wobei der erste Bond-Bereich mit dem zweiten Bond-Bereich gebondet ist und eine Bond-Grenzfläche zwischen dem ersten Bond-Bereich und dem zweiten Bond-Bereich Cu3Sn aufweist.
  20. Package-Struktur nach Anspruch 19, wobei der erste und zweite Bond-Bereich Kupfer (Cu) aufweisen.
DE102016115067.3A 2015-12-31 2016-08-14 Packaging-Verfahren und zugehörige Package-Struktur Pending DE102016115067A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562273750P 2015-12-31 2015-12-31
US62/273,750 2015-12-31
US15/088,130 2016-04-01
US15/088,130 US11078075B2 (en) 2015-12-31 2016-04-01 Packaging method and associated packaging structure

Publications (1)

Publication Number Publication Date
DE102016115067A1 true DE102016115067A1 (de) 2017-07-06

Family

ID=59069160

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016115067.3A Pending DE102016115067A1 (de) 2015-12-31 2016-08-14 Packaging-Verfahren und zugehörige Package-Struktur

Country Status (4)

Country Link
US (4) US11078075B2 (de)
CN (2) CN107032292A (de)
DE (1) DE102016115067A1 (de)
TW (1) TWI667190B (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019192797A1 (de) * 2018-04-05 2019-10-10 Robert Bosch Gmbh Bond-strukturen auf mems-element und asic-element
DE102021204645A1 (de) 2021-05-07 2022-11-10 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung eines mikroelektromechanischen Sensors aus einem MEMS-Element und einem ASIC-Element und mikroelektromechanischer Sensor

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11078075B2 (en) * 2015-12-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Packaging method and associated packaging structure
US10081539B2 (en) * 2016-07-12 2018-09-25 Invensense, Inc. Two different conductive bump stops on CMOS-MEMS bonded structure
US10508030B2 (en) * 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US11220423B2 (en) * 2018-11-01 2022-01-11 Invensense, Inc. Reduced MEMS cavity gap
NO346994B1 (en) 2021-07-07 2023-03-27 Univ Of South Eastern Norway Combined use of tin (Sn) thin film as the Solid-Liquid-InterDiffusion top layer metal layer for silicon chip and wafer stack bonding and as the masking film during silicon micromachining

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6630367B1 (en) * 2000-08-01 2003-10-07 Hrl Laboratories, Llc Single crystal dual wafer, tunneling sensor and a method of making same
TW533188B (en) * 2001-07-20 2003-05-21 Getters Spa Support for microelectronic, microoptoelectronic or micromechanical devices
US7004015B2 (en) * 2001-10-25 2006-02-28 The Regents Of The University Of Michigan Method and system for locally sealing a vacuum microcavity, methods and systems for monitoring and controlling pressure and method and system for trimming resonant frequency of a microstructure therein
US6929974B2 (en) * 2002-10-18 2005-08-16 Motorola, Inc. Feedthrough design and method for a hermetically sealed microdevice
JP4766831B2 (ja) * 2002-11-26 2011-09-07 株式会社村田製作所 電子部品の製造方法
US7122261B2 (en) * 2003-02-21 2006-10-17 The Regents Of The University Of California Metal hydride fuel storage and method thereof
US7075160B2 (en) * 2003-06-04 2006-07-11 Robert Bosch Gmbh Microelectromechanical systems and devices having thin film encapsulated mechanical structures
US7247246B2 (en) * 2003-10-20 2007-07-24 Atmel Corporation Vertical integration of a MEMS structure with electronics in a hermetically sealed cavity
US20070110917A1 (en) * 2003-12-02 2007-05-17 Bondtech, Inc Bonding method, device formed by such method, surface activating unit and bonding apparatus comprising such unit
US7104129B2 (en) * 2004-02-02 2006-09-12 Invensense Inc. Vertically integrated MEMS structure with electronics in a hermetically sealed cavity
US20090115042A1 (en) * 2004-06-04 2009-05-07 Zycube Co., Ltd. Semiconductor device having three-dimensional stacked structure and method of fabricating the same
US8283208B2 (en) * 2004-12-28 2012-10-09 Mitsumasa Koyanagi Method and apparatus for fabricating integrated circuit device using self-organizing function
US7442570B2 (en) * 2005-03-18 2008-10-28 Invensence Inc. Method of fabrication of a AL/GE bonding in a wafer packaging environment and a product produced therefrom
DE102006016260A1 (de) * 2006-04-06 2007-10-18 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Mikromechanische Gehäusung mit mindestens zwei Kavitäten mit unterschiedlichem Innendruck und/oder unterschiedlicher Gaszusammensetzung sowie Verfahren zu deren Herstellung
US8241995B2 (en) * 2006-09-18 2012-08-14 International Business Machines Corporation Bonding of substrates including metal-dielectric patterns with metal raised above dielectric
US8115301B2 (en) * 2006-11-17 2012-02-14 Stats Chippac, Inc. Methods for manufacturing thermally enhanced flip-chip ball grid arrays
US7923790B1 (en) * 2007-03-09 2011-04-12 Silicon Laboratories Inc. Planar microshells for vacuum encapsulated devices and damascene method of manufacture
DE102008025599B4 (de) * 2007-05-14 2013-02-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Gehäuste aktive Mikrostrukturen mit Direktkontaktierung zu einem Substrat
US8035223B2 (en) * 2007-08-28 2011-10-11 Research Triangle Institute Structure and process for electrical interconnect and thermal management
US8058144B2 (en) * 2008-05-30 2011-11-15 Analog Devices, Inc. Method for capping a MEMS wafer
JP2010179336A (ja) 2009-02-05 2010-08-19 Toyota Central R&D Labs Inc 接合体、半導体モジュール、及び接合体の製造方法
US9138994B2 (en) 2009-03-03 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and methods of fabrication thereof
EP2252077B1 (de) * 2009-05-11 2012-07-11 STMicroelectronics Srl Anordnung eines kapazitiven mikroelektromechanischen Akustikwandlers und Verpackung dafür
US8362578B2 (en) 2009-06-02 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Triple-axis MEMS accelerometer
US10040681B2 (en) * 2009-08-28 2018-08-07 Miradia Inc. Method and system for MEMS devices
US8629795B2 (en) 2009-09-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Micro-electro-mechanical systems (MEMS), systems, and operating methods thereof
FR2950877B1 (fr) * 2009-10-07 2012-01-13 Commissariat Energie Atomique Structure a cavite comportant une interface de collage a base de materiau getter
US8216882B2 (en) * 2010-08-23 2012-07-10 Freescale Semiconductor, Inc. Method of producing a microelectromechanical (MEMS) sensor device
US8486744B2 (en) 2010-09-28 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple bonding in wafer level packaging
US8581420B2 (en) * 2010-10-18 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Under-bump metallization (UBM) structure and method of forming the same
US8716051B2 (en) 2010-10-21 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device with release aperture
US8762925B2 (en) 2011-02-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS modeling system and method
US9540230B2 (en) * 2011-06-27 2017-01-10 Invensense, Inc. Methods for CMOS-MEMS integrated devices with multiple sealed cavities maintained at various pressures
US9452925B2 (en) * 2011-06-27 2016-09-27 Invensense, Inc. Method of increasing MEMS enclosure pressure using outgassing material
US9065358B2 (en) 2011-07-11 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS structure and method of forming same
US8525278B2 (en) * 2011-08-19 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device having chip scale packaging
JP5780228B2 (ja) * 2011-11-11 2015-09-16 住友ベークライト株式会社 半導体装置の製造方法
TWI446982B (zh) 2011-12-20 2014-08-01 Ind Tech Res Inst 熱電模組之固液擴散接合結構及其製造方法
US20130161702A1 (en) * 2011-12-25 2013-06-27 Kun-Lung Chen Integrated mems device
US8587077B2 (en) * 2012-01-02 2013-11-19 Windtop Technology Corp. Integrated compact MEMS device with deep trench contacts
US8987059B2 (en) 2012-01-04 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and methods of forming same
US9085456B2 (en) 2012-01-16 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Support structure for TSV in MEMS structure
US9139423B2 (en) 2012-01-19 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electro mechanical system structures
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
US8853801B2 (en) 2012-04-19 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and methods of forming the same
US9738512B2 (en) 2012-06-27 2017-08-22 Invensense, Inc. CMOS-MEMS integrated device including multiple cavities at different controlled pressures and methods of manufacture
US8748205B1 (en) 2012-11-30 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS structure with adaptable inter-substrate bond
US10160638B2 (en) * 2013-01-04 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor structure
US20140225206A1 (en) * 2013-02-11 2014-08-14 Yizhen Lin Pressure level adjustment in a cavity of a semiconductor die
US9085455B2 (en) 2013-03-14 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and methods for forming same
US9187317B2 (en) 2013-03-14 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS integrated pressure sensor and microphone devices and methods of forming same
US9040334B2 (en) 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS integrated pressure sensor devices and methods of forming same
US10273147B2 (en) * 2013-07-08 2019-04-30 Motion Engine Inc. MEMS components and method of wafer-level manufacturing thereof
US8994129B2 (en) 2013-07-19 2015-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming micro-electro mechanical system device
US9233839B2 (en) 2013-08-01 2016-01-12 Taiwan Semiconductor Manufacturing Company Limited MEMS device and method of forming the same
TWI621242B (zh) 2013-09-19 2018-04-11 伊凡聖斯股份有限公司 具有紅外線吸收結構層的氮化鋁(ain)裝置
JP2015068887A (ja) * 2013-09-27 2015-04-13 セイコーエプソン株式会社 光学フィルターデバイス、光学モジュール、電子機器、及びmemsデバイス
US9254999B2 (en) 2013-11-21 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for forming micro-electro mechanical device
US9776856B2 (en) * 2013-12-20 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vacuum sealed MEMS and CMOS package
US9725310B2 (en) 2013-12-20 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electromechanical system sensor and method of forming the same
JP6011734B2 (ja) * 2014-01-07 2016-10-19 株式会社村田製作所 構造材接合方法および接合構造
US9114396B2 (en) 2014-01-16 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making flowcell with micro-fluid structure
US9344808B2 (en) * 2014-03-18 2016-05-17 Invensense, Inc. Differential sensing acoustic sensor
US9067779B1 (en) * 2014-07-14 2015-06-30 Butterfly Network, Inc. Microfabricated ultrasonic transducers and related apparatus and methods
US9394161B2 (en) * 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9738516B2 (en) * 2015-04-29 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure to reduce backside silicon damage
US9656852B2 (en) * 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
CN106373900A (zh) * 2015-07-20 2017-02-01 中芯国际集成电路制造(北京)有限公司 晶圆级键合封装方法以及共晶键合的晶圆结构
US9809450B2 (en) * 2015-08-27 2017-11-07 Invensense, Inc. CMOS-MEMS integration using metal silicide formation
US11078075B2 (en) * 2015-12-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Packaging method and associated packaging structure
DE102016200499A1 (de) * 2016-01-16 2017-07-20 Robert Bosch Gmbh Mikromechanisches Bauelement mit Diffusionsstoppkanal
US9959921B2 (en) 2016-04-01 2018-05-01 Micron Technology, Inc. Apparatuses and methods for refresh control
US10280076B2 (en) * 2016-04-15 2019-05-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
ITUA20164673A1 (it) * 2016-06-27 2017-12-27 St Microelectronics Srl Dispositivo mems formato da almeno due strati strutturali incollati reciprocamente e relativo processo di fabbricazione
US10457549B2 (en) * 2017-02-03 2019-10-29 Taiwan Semiconductor Manfacturing Company Ltd. Semiconductive structure and manufacturing method thereof
US10562763B2 (en) * 2017-08-28 2020-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fence structure to prevent stiction in a MEMS motion sensor
DE102018122261B4 (de) * 2017-09-27 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrationsverfahren zum waferebenenpackaging und mikroelektromechanisches system-, mems-, bauelement
US10811361B2 (en) * 2018-06-12 2020-10-20 Vanguard International Semiconductor Singapore Pte. Ltd. Seal ring bonding structures
US10773947B2 (en) * 2018-06-19 2020-09-15 Invensense, Inc. CMOS MEMS integrated device with increased shield vertical gap
US10773951B2 (en) * 2018-06-19 2020-09-15 Invensense, Inc. CMOS-MEMS integrated device without standoff in MEMS

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019192797A1 (de) * 2018-04-05 2019-10-10 Robert Bosch Gmbh Bond-strukturen auf mems-element und asic-element
CN111936413A (zh) * 2018-04-05 2020-11-13 罗伯特·博世有限公司 在mems元件和asic元件上的键合结构
DE102021204645A1 (de) 2021-05-07 2022-11-10 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung eines mikroelektromechanischen Sensors aus einem MEMS-Element und einem ASIC-Element und mikroelektromechanischer Sensor

Also Published As

Publication number Publication date
TWI667190B (zh) 2019-08-01
US20220017363A1 (en) 2022-01-20
US20230357002A1 (en) 2023-11-09
CN113548639A (zh) 2021-10-26
CN107032292A (zh) 2017-08-11
US11078075B2 (en) 2021-08-03
US11713241B2 (en) 2023-08-01
US20170225947A1 (en) 2017-08-10
US10865103B2 (en) 2020-12-15
US20190100431A1 (en) 2019-04-04
TW201733899A (zh) 2017-10-01

Similar Documents

Publication Publication Date Title
DE102016115067A1 (de) Packaging-Verfahren und zugehörige Package-Struktur
DE102018124826B4 (de) Auf waferebene integriertes mems-bauelement, das mit einer siliziumsäule und einer intelligenten kappe ermöglicht wird
DE102016100056B4 (de) Halbleitervorrichtung und Verfahren zum Fertigen von dieser
DE60026895T2 (de) Mikrodeckelgehäuse auf Scheibenniveau
DE102007038169B4 (de) Verfahren zum Verpacken auf Waferebene unter Verwendung von Waferdurchgangslöchern mit Seitenwänden mit geringem Aspektverhältnis
DE102010039057B4 (de) Sensormodul
DE102010037941B4 (de) Verfahren und Verwendung eines rekonstituierten Wafer zur Halbleiterbauelementfabrikation
DE19619921B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit Funktionselement und Schutzkappe
DE102005016751B3 (de) Verfahren zur Herstellung gehäuster elektronischer Bauelemente
DE102008038815B4 (de) Integrierte Schaltung mit einer Parylen-Materialschicht und Verfahren zu deren Herstellung
EP2234917B1 (de) Verfahren zum herstellen eines kappenwafers für einen sensor
EP2331453B1 (de) Mikromechanisches bauelement mit durchgangselektrode und verfahren zu dessen herstellung
DE102016100007B4 (de) CMOS-MEMS-Struktur und Verfahren zu ihrer Herstellung
DE102012100007A1 (de) Halbleitervorrichtungen mit Isoliersubstraten und Verfahren zur Bildung derselben
DE102010062419A1 (de) Bereichsunterteiltes Substrat und Halbleiterbauelement
DE102013103140A1 (de) Integrierte 3-D-Schaltungen und Verfahren zu deren Bildung
DE102005004160A1 (de) Halbleiterbaustein und Verfahren zum Herstellen desselben
DE102018124822B4 (de) Verfahren zum herstellen eines mems-packages mit mehreren tiefen
DE102014115775B4 (de) Halbleiterbauelemente und Verfahren zu deren Ausbildung
EP2438005B1 (de) Mikromechanisches bauelement mit eutektischer verbindung zwischen zwei substraten und verfahren zum herstellen eines derartigen mikromechanischen bauelements
DE102015104476A1 (de) Kerbenvorbereitung für Rückseitenmetallisierung
DE102010029709A1 (de) Mikromechanisches Bauelement
DE60025214T2 (de) Mikrodeckelgehäuse auf Scheibenebene
DE102009005458A1 (de) Halbleiterbauelement mit Durchkontaktierung und Verfahren zu dessen Herstellung
DE10324421B4 (de) Halbleiterbauelement mit Metallisierungsfläche und Verfahren zur Herstellung desselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication