DE102014119308B4 - Zweischritt-Formmasse-Schleifen für Kapselungsanwendungen - Google Patents

Zweischritt-Formmasse-Schleifen für Kapselungsanwendungen Download PDF

Info

Publication number
DE102014119308B4
DE102014119308B4 DE102014119308.3A DE102014119308A DE102014119308B4 DE 102014119308 B4 DE102014119308 B4 DE 102014119308B4 DE 102014119308 A DE102014119308 A DE 102014119308A DE 102014119308 B4 DE102014119308 B4 DE 102014119308B4
Authority
DE
Germany
Prior art keywords
molding compound
die
substrate
over
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014119308.3A
Other languages
English (en)
Other versions
DE102014119308A1 (de
Inventor
Wen-Chun Huang
Chien-Chen Li
Kuo-Chio Liu
Ruey-Yun Shiue
Hsi-Kuei Cheng
Chih-Hsien Lin
Jing-Cheng Lin
Hsiang-Tai Lu
Tzi-Yi Shieh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/276,832 external-priority patent/US9209048B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014119308A1 publication Critical patent/DE102014119308A1/de
Application granted granted Critical
Publication of DE102014119308B4 publication Critical patent/DE102014119308B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05171Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1141Manufacturing methods by blanket deposition of the material of the bump connector in liquid form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1141Manufacturing methods by blanket deposition of the material of the bump connector in liquid form
    • H01L2224/11424Immersion coating, e.g. in a solder bath
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/11452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13118Zinc [Zn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • H01L2224/29191The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/331Disposition
    • H01L2224/3318Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/33181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81417Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/81424Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81444Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81447Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81455Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/81484Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/81895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83104Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus by applying pressure, e.g. by injection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83191Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83192Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8338Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/83399Material
    • H01L2224/834Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/83417Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/83424Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8338Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/83399Material
    • H01L2224/834Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/83438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/83447Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8338Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/83399Material
    • H01L2224/834Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/83438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/83455Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92225Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

Verfahren, das Folgendes umfasst:Anbringen eines Dies (110) an einer oberen Fläche eines Substrats (202), um eine Vorrichtung auszubilden;Kapseln des Dies (110) und der oberen Fläche des Substrats (202) in einer Formmasse (304), wobei die Formmasse (304) eine erste Dicke über dem Die aufweist;Entfernen eines Teils, aber nicht der gesamten Dicke der Formmasse (304) über dem Die (110), um eine die verbleibende Dicke der Formmasse und eine plane obere Oberfläche der Formmasse bereitzustellen; weitere Verarbeitung der Vorrichtung; undEntfernen der verbleibenden Dicke der Formmasse (304) über dem Die (110).

Description

  • HINTERGRUND
  • Halbleitervorrichtungen werden in einer Vielzahl von elektronischen Anwendungen verwendet, beispielsweise PCs, Mobiltelefonen, Digitalkameras und anderer elektronischer Ausrüstung. Halbleitervorrichtungen werden üblicherweise hergestellt, indem isolierende oder dielektrische Schichten, leitende Schichten und Halbleiterschichten verschiedener Materialien nach einander über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten mittels Lithographie strukturiert werden, um Schaltungskomponenten und Elemente darauf auszubilden.
  • Die Halbleiterindustrie hat aufgrund von fortlaufenden Verbesserungen bei der Integrationsdichte einer Vielzahl von elektronischen Komponenten (z.B. Transistoren, Dioden, Widerstände, Kondensatoren etc.) ein schnelles Wachstum erlebt. Diese Verbesserung der Integrationsdichte rührte hauptsächlich von einer Verkleinerung des HalbleiterVerfahrensknotens (z.B. Verkleinern des Halbleiterverfahrensknotens hin zu dem Unter-20nm-Knoten) her. Mit der wachsenden Nachfrage nach Miniaturisierung, höherer Geschwindigkeit und höherer Bandbreite sowie niedrigerem Stromverbrauch und niedrigerer Latenz ist auch der Bedarf nach kleineren und kreativeren Kapselungstechniken von Halbleiter-Dies gewachsen.
  • Zum Stand der Technik wird auf die US 2013 / 0 147 054 A1 , die US 2013 / 0 217 188 A1, die US 2013 / 0 134 559 A1 und die US 2016 / 0 284 568 A1 verwiesen. Diese Dokumente beschreiben Halbleiterbauteile mit Dies, die in einer Formmasse eingebettet sind.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Einrichtungen nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Einrichtungen zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert sein.
    • 1 bis 10 zeigen Schnittansichten von Zwischenschritten bei dem Ausbilden eines Packages in Übereinstimmung mit einigen Ausführungsformen.
    • 11 ist ein Flussdiagramm des Verfahrens zum Ausbilden eines Packages in Übereinstimmung mit einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Einrichtungen der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Das Ausbilden einer ersten Einrichtung über oder auf einer zweiten Einrichtung in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, in denen die erste und die zweite Einrichtung in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Einrichtungen zwischen der ersten und der zweiten Einrichtung ausgebildet sein können, so dass die erste und die zweite Einrichtung nicht in direktem Kontakt sein müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und erzwingt als solche keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier zur Einfachheit der Beschreibung verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit einem oder mehreren anderen Elementen oder Einrichtungen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Ausführungsformen werden mit Bezug auf Ausführungsformen in einem speziellen Kontext beschrieben, d.h. ein gestapeltes Die-Interposer-Substrat-Package oder -Gehäuse unter Verwendung von Chip-auf-Wafer-auf-Substrat-(CoWoS)-Verarbeitung. Andere Ausführungsformen können jedoch auf andere Packages angewendet werden, etwa ein gestapeltes Die-Die-Substrat-Package, und andere Verarbeitung kann verwendet werden.
  • Allgemein gesprochen können Ausführungsformen der vorliegenden Offenbarung einen verbesserten Ansatz bereitstellen, um Ereignisse von elektrostatischer Entladung (ESD) während eines Herstellungsverfahrens zu verringern oder zu minimieren oder vielleicht gänzlich zu vermeiden, beispielsweise eines Flip-Chip-Herstellungsverfahrens (engl. „controlled collapse chip connection”, C4). Als solche können die Verfahrensfenster zur Herstellung von CoWoS-Vorrichtungen erweitert werden, was die Herstellungskosten und - komplexität verringert, während es den Ertrag steigert.
  • Während statische Elektrizität in der Herstellungsumgebung nicht vollständig vermieden werden kann, können ihre Auswirkungen verringert werden. Ein Ansatz, der hier beschrieben ist, liegt darin, eine Isolierschicht auf einem Die (etwa der Rückseite eines Dies) während eines C4-Bondhügel-Verfahrens bereitzustellen. Dies kann den Weg verkleinern oder ausschalten, durch den statische Elektrizität empfindliche Komponenten erreichen und zerstören kann.
  • 1 bis 10 zeigen Schnittansichten von Zwischenschritten beim Ausbilden eines Packages in Übereinstimmung mit einigen Ausführungsformen und 11 ist ein Flussdiagramm des Verfahrens, das in 1 bis 10 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
  • 1 zeigt das Ausbilden eines oder mehrerer Dies 110 (Schritt 702). Ein Substrat 102 umfasst einen oder mehrere Dies 110 während der Verarbeitung. Das Substrat 102 umfasst eine Verbindungsstruktur 106 über einer aktiven Oberfläche 102A mit Bondkontaktstellen 108, die in und/oder auf der Verbindungsstruktur 106 ausgebildet sind.
  • Das Substrat 102 kann aus einem Halbleitermaterial hergestellt sein wie Silizium, Germanium, Diamant oder Ähnlichem. Alternativ können Verbindungsmaterialien wie Silizium-Germanium, Siliziumkarbid, Galliumarsenid, Indiumarsenid, Indiumphosphid, Silizium-Germanium-Karbid, Galliumarsenid-Phosphid, Gallium-Indium-Phosphid, Kombinationen daraus und Ähnliches auch verwendet werden. Zusätzlich kann das Substrat 102 ein Silizium-auf-Isolator-(SOI)-Substrat sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial wie epitaktischem Silizium, Germanium, Silizium-Germanium, SOI, Silizium-Germanium-auf-Isolator (SGOI) oder Kombinationen daraus.
  • Das Substrat 102 kann aktive und passive Vorrichtungen umfassen (in 1 nicht gezeigt). Wie ein Fachmann erkennen wird, können eine breite Vielfalt von Vorrichtungen wie Transistoren, Kondensatoren, Widerständen, Kombinationen daraus und Ähnlichem verwendet werden, um die strukturellen und funktionalen Anforderungen des Designs für den einen oder die mehreren Dies 110 zu erzeugen. Die Vorrichtungen können durch jedes geeignete Verfahren ausgebildet werden.
  • Eine Verbindungsstruktur 106, die eine oder mehrere dielektrische Schichten und zugehörige Metallisierungsstrukturen umfasst, wird auf der aktiven Oberfläche 202A ausgebildet. Die eine oder mehreren Metallisierungsstrukturen in den dielektrischen Schichten können elektrische Signale zwischen den Vorrichtungen leiten, etwa durch Durchkontaktierungen und/oder Leiterbahnen, und können auch verschiedene elektrische Vorrichtungen aufweisen, etwa Kondensatoren, Widerstände, Induktoren oder Ähnliches. Die verschiedenen Vorrichtungen und Metallisierungsstrukturen können unter einander verbunden sein, um eine oder mehrere Funktionen auszuführen. Die Funktionen können Speicherstrukturen, Verarbeitungsstrukturen, Sensoren, Verstärker, Stromversorgung, Eingabe-/Ausgabeschaltungen oder Ähnliches umfassen.
  • Eine oder mehrere dielektrische Schichten zwischen den Metallisierungen (IMD), die in der Verbindungsstruktur 208 ausgebildet sind, können beispielsweise aus einem low-k-dielektrischen Material ausgebildet werden, etwa Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), Fluorsilikatglas (FSG), SiOxCy, Spin-On-Glas, Spin-On-Polymere, Silizium-Kohlenstoff-Material, Verbindungen daraus, Verbundstoffe daraus, Kombinationen daraus oder Ähnlichem, durch jedes geeignete Verfahren, das in der Branche bekannt ist, wie Rotationsbeschichtung, chemische Gasphasenabscheidung (CVD), CVD im Plasma (PECVD), chemische Gasphasenabscheidung im hochdichten Plasma (HDP-CVD) oder Ähnliches. Eine Metallisierungsstruktur kann in der IMD-Schicht ausgebildet werden, beispielsweise indem Fotolithographie-Techniken verwendet werden, um ein Fotoresist-Material auf der IMD-Schicht abzuscheiden und zu strukturieren, um Abschnitte der IMD-Schicht freizulegen, die die Metallisierungsstruktur werden sollen. Ein Ätzverfahren, etwa ein anisotropes Trockenätzverfahren, kann verwendet werden, um Vertiefungen und/oder Öffnungen in der IMD-Schicht auszubilden, die zu den freiliegenden Abschnitten der IMD-Schicht gehören. Die Vertiefungen und/oder Öffnungen können mit einer Diffusionsbarriere-Schicht ausgekleidet sein und mit einem leitenden Material gefüllt sein. Die Diffusionsbarriere-Schicht kann eine oder mehrere Schichten aus TaN, Ta, TiN, Ti, CoW oder Ähnlichem umfassen, die durch Atomlagenabscheidung (ALD) oder Ähnliches abgeschieden werden, und das leitende Material kann Kupfer, Aluminium, Wolfram, Silber und Kombinationen daraus umfassen oder Ähnliches, das durch chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD) oder Ähnliches abgeschieden wird. Jedes überschüssige Material der Diffusionsbarriere-Schicht und/oder des leitenden Materials auf der IMD-Schicht kann entfernt werden, etwa indem ein chemischmechanisches Polieren (CMP) verwendet wird.
  • Die Bondkontaktstellen 108 werden in und/oder auf der Verbindungsstruktur 106 ausgebildet. In einigen Ausführungsformen werden die Bondkontaktstellen 108 ausgebildet, indem Vertiefungen (nicht gezeigt) in einer oder mehreren der dielektrischen Schichten der Verbindungsstruktur 106 ausgebildet werden. Die Vertiefungen können ausgebildet werden, um es zu ermöglichen, dass die Bondkontaktstellen 108 in die Verbindungsstruktur 106 eingebettet werden. In anderen Ausführungsformen fehlen die Vertiefungen, da die Bondkontaktstellen 108 auf der Verbindungsstruktur 106 ausgebildet werden. Die Bondkontaktstellen 108 verbinden den einen oder die mehreren Dies 110 mit dem nachfolgend gebondeten Substrat 202 elektrisch und/oder körperlich (siehe 4). In einigen Ausführungsformen umfassen die Bondkontaktstellen 108 eine dünne Keimschicht (nicht gezeigt), die aus Kupfer, Titan, Nickel, Gold, Ähnlichem oder einer Kombination daraus hergestellt ist. Das leitende Material der Bondkontaktstellen 108 kann über der dünnen Keimschicht abgeschieden werden. Das leitende Material kann durch ein elektrochemisches Plattierverfahren, CVD, ALD, PVD, Ähnliches oder eine Kombination daraus ausgebildet werden. In einer Ausführungsform besteht das leitende Material der Bondkontaktstellen 108 aus Kupfer, Wolfram, Aluminium, Silber, Gold, Ähnlichem oder einer Kombination daraus.
  • In einer Ausführungsform sind die Bondkontaktstellen 108 Under-Bump-Metallisierungen (UBMs), die drei Schichten aus leitenden Materialien umfassen, etwa einer Schicht aus Titan, einer Schicht aus Kupfer und einer Schicht aus Nickel. Ein Fachmann wird jedoch erkennen, dass es viele geeignete Anordnungen von Materialien und Schichten gibt, etwa eine Anordnung aus Chrom/Chrom-Kupfer-Legierung/Kupfer/Gold, eine Anordnung aus Titan/Titan-Wolfram/Kupfer oder eine Anordnung aus Kupfer/Nickel/Gold, die für das Ausbilden der UBMs 108 verwendet werden können. Alle geeigneten Materialien oder Schichten aus Materialien, die für die UBMs 108 verwendet werden können, sollen vollständig in dem Schutzumfang der vorliegenden Anmeldung enthalten sein.
  • In 2 wird das Substrat 102 einschließlich der Verbindungsstruktur 106 in einzelne Dies 110 vereinzelt (Schritt 704). Üblicherweise enthalten die Dies 110 die gleichen Schaltungen, etwa Vorrichtungen und Metallisierungsstrukturen, obwohl die Dies unterschiedliche Schaltungen aufweisen können. In einigen Ausführungsformen wird das Vereinzeln durch Sägen, Laser, Schneiden, Ähnliches oder einer Kombination daraus ausgeführt.
  • 3 zeigt das Ausbilden einer ersten Seite eines Substrats 202 (Schritt 706). Das Substrat 202 kann aus einem Halbleitermaterial hergestellt sein, etwa Silizium, Germanium, Diamant oder Ähnlichem. Alternativ können Verbindungsmaterialien wie Silizium-Germanium, Siliziumkarbid, Galliumarsenid, Indiumarsenid, Indiumphosphid, Silizium-Germanium-Karbid, Galliumarsenid-Phosphid, Gallium-Indium-Phosphid, Kombinationen daraus und Ähnliches auch verwendet werden. Zusätzlich kann das Substrat 202 ein SOI-Substrat sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, etwa epitaktischem Silizium, Germanium, Silizium-Germanium, SOI, SGOI oder Kombinationen daraus. Das Substrat 202 basiert in einer alternativen Ausführungsform auf einem isolierenden Kern, etwa einem glasfaserverstärkten Harz-Kern. Ein beispielhaftes Kernmaterial ist Glasfaser-Harz, etwa FR4. Alternativen für das Kernmaterial umfassen Bismaleimid-Triazin-(BT)-Harz oder alternativ andere Platinen-Materialien oder -Filme. Aufbau-Filme wie Ajinomot Build-Up-Film (ABF) oder andere Laminate können für das Substrat 202 verwendet werden.
  • Das Substrat 202 kann aktive und passive Vorrichtungen umfassen (in 3 nicht gezeigt), die in und/oder auf einer ersten Oberfläche 202A des Substrats 202 ausgebildet sind. Wie ein Fachmann erkennen wird, können eine breite Vielfalt von Vorrichtungen wie Transistoren, Kondensatoren, Widerständen, Kombinationen daraus und Ähnliches verwendet werden, um die strukturellen und funktionalen Anforderungen des Designs für das Substrat 202 zu erzeugen. Die Vorrichtungen können mittels jedes geeigneten Verfahrens ausgebildet werden. In einigen Ausführungsformen ist das Substrat 202 ein Interposer, der im Allgemeinen keine aktiven Vorrichtungen umfasst, obwohl der Interposer passive Vorrichtungen umfassen kann, die in und/oder auf einer ersten Oberfläche 202A ausgebildet sind.
  • Durchkontaktierungen (TVs) 206 werden so ausgebildet, dass sie sich von der ersten Oberfläche 202A des Substrats 202 in das Substrat 202 erstrecken. Die TVs 206 werden auch manchmal als Durch-Substrat-Durchkontaktierungen oder Durch-Silizium-Durchkontaktierungen bezeichnet, wenn das Substrat 202 ein Siliziumsubstrat ist. Die TVs 206 können ausgebildet werden, indem Vertiefungen in dem Substrat 202 ausgebildet werden, beispielsweise durch Ätzen, Fräsen, Laser-Techniken, Ähnliches oder eine Kombination daraus. Eine dünne Sperrschicht kann gleichmäßig über der Vorderseite des Substrats 202 und in den Öffnungen abgeschieden werden, etwa durch CVD, ALD, PVD, thermische Oxidation, Ähnliches oder eine Kombination daraus. Die Sperrschicht kann ein Nitrid oder ein Oxinitrid umfassen, etwa Titannitrid, Titanoxinitrid, Tantalnitrid, Tantaloxinitrid, Wolframnitrid, Ähnliches oder eine Kombination daraus. Ein leitendes Material kann über der dünnen Sperrschicht und in den Öffnungen abgeschieden werden. Das leitende Material kann durch ein elektrochemisches Plattierverfahren, CVD, ALD, PVD, Ähnliches oder eine Kombination daraus ausgebildet werden. Beispiele von leitenden Materialien sind Kupfer, Wolfram, Aluminium, Silber, Gold, Ähnliches oder eine Kombination daraus. Überschüssiges leitendes Material und überschüssige Anteile der Sperrschicht werden von der Vorderseite des Substrats entfernt, beispielsweise durch ein CMP. Somit können die TVs 206 ein leitendes Material und eine dünne Sperrschicht zwischen dem leitenden Material und dem Substrat 202 umfassen.
  • Eine Verbindungsstruktur 208 wird über der ersten Oberfläche 202A des Substrats 202 ausgebildet und wird verwendet, um die integrierten Schaltungsvorrichtungen, wenn vorhanden, und/oder die TVs 206 mit einander und/oder mit externen Vorrichtungen elektrisch zu verbinden. Die Verbindungsstruktur 208 kann eine oder mehrere dielektrische Schichten und zugehörige Metallisierungsstrukturen in den dielektrischen Schichten umfassen. Die Metallisierungsstrukturen können Durchkontaktierungen und/oder Leiterbahnen umfassen, um alle Vorrichtungen und/oder TVs 206 mit einander und/oder mit einer externen Vorrichtung zu verbinden. Die Metallisierungsstrukturen werden manchmal als Umverteilungsleitungen (RDLs) bezeichnet. Die dielektrischen Schichten können Siliziumoxid, Siliziumnitrid, Siliziumkarbid, Siliziumoxinitrid, ein Low-k-Dielektrikum wie PSG, BPSG, FSG, SiOxCy, Spin-On-Glas, Spin-On-Polymere, Silizium-Kohlenstoff-Material, Verbindungen daraus, Verbundstoffe davon, Kombinationen daraus oder Ähnliches umfassen. Die dielektrischen Schichten können durch jedes geeignete Verfahren abgeschieden werden, das in der Branche bekannt ist, etwa Rotationsbeschichtung, CVD, PECVD, HDP-CVD oder Ähnliches. Eine Metallisierungsstruktur kann in der dielektrischen Schicht ausgebildet werden, beispielsweise indem Fotolithographie-Techniken verwendet werden, um ein Fotoresist-Material auf der dielektrischen Schicht abzuscheiden und zu strukturieren, um Abschnitte der dielektrischen Schicht freizulegen, die die Metallisierungsstruktur werden sollen. Ein Ätzverfahren, etwa ein anisotropes Trockenätzverfahren, kann verwendet werden, um Vertiefungen und/oder Öffnungen in der dielektrischen Schicht zu erzeugen, die zu den freiliegenden Abschnitten der dielektrischen Schicht gehören. Die Vertiefungen und/oder Öffnungen können mit einer Diffusionsbarriere-Schicht ausgekleidet werden und mit einem leitenden Material gefüllt werden. Die Diffusionsbarriere-Schicht kann eine oder mehrere Schichten aus TaN, Ta, TiN, Ti, CoW oder Ähnlichem umfassen, die durch ALD oder Ähnliches abgeschieden werden, und das leitende Material kann Kupfer, Aluminium, Wolfram, Silber und Kombinationen daraus oder Ähnliches umfassen, das durch CVD, PVD oder Ähnliches abgeschieden wird. Alles überschüssige Material der Diffusionsbarriere-Schicht und/oder leitendes Material auf der dielektrischen Schicht kann entfernt werden, etwa indem CMP verwendet wird.
  • Elektrische Anschlussteile 210 werden an der oberen Fläche und elektrisch verbunden mit der Verbindungsstruktur 208 ausgebildet. Die elektrischen Anschlussteile 210 können aus Lötkugeln, Metallsäulen, Flip-Chip-(C4)-Bondhügeln, Mikrobondhügeln, Bondhügeln, die durch stromloses Nickel-stromloses Palladium-Gold-Immersions-Techniken (engl. „electroless nickel-electroless palladium-immersion gold“, ENEPIG) ausgebildet werden, oder Ähnlichem bestehen. Die elektrischen Anschlussteile können ein leitendes Material umfassen wie Lot, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn, Ähnliches oder eine Kombination daraus. In einer Ausführungsform, in der die elektrischen Anschlussteile 210 Löt-Bondhügel sind, werden die elektrischen Anschlussteile 210 ausgebildet, indem anfänglich eine Schicht aus Lot ausgebildet wird, durch so allgemein verwendete Verfahren wie Verdampfung, Elektroplattieren, Drucken, Lot-Transfer, Lötkugel-Platzierung oder Ähnliches. Nachdem die Schicht aus Lot auf der Struktur ausgebildet wurde, kann ein Aufschmelzen (engl. „reflow“) ausgeführt werden, um das Material in die angestrebte Bondhügel-Form zu formen. In einer anderen Ausführungsform sind die elektrischen Anschlussteile 210 Metallsäulen (etwa Kupfersäulen), die durch Sputtern, Drucken, Elektroplattieren, stromloses Plattieren, CVD oder Ähnliches ausgebildet werden. Die Metallsäulen können frei von Lot sein und im Wesentlichen vertikale Seitenwände haben. In einigen Ausführungsformen wird eine Metall-Deckschicht (nicht gezeigt) auf den Metallsäulen-Anschlussteilen 210 ausgebildet. Die Metall-Deckschicht kann Nicke, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold, Ähnliches oder eine Kombination daraus umfassen und kann durch ein Plattierverfahren ausgebildet werden.
  • 4 zeigt das Anbringen der Dies 110 an der ersten Seite des ersten Substrats (Schritt 708), beispielsweise durch Flip-Chip-Bonden, um ein Die-Package auszubilden. Die elektrischen Anschlussteile 210 verbinden die Schaltungen in den Dies 110 elektrisch mit der Verbindungsstruktur 208 und den TVs 206.
  • Die Dies 110 können einen Logik-Die umfassen, etwa eine zentrale Recheneinheit (CPU), einen Grafikprozessor (GPU), Ähnliches oder eine Kombination daraus. In einigen Ausführungsformen umfassen die Dies 110 einen Die-Stapel (nicht gezeigt), der sowohl Logik-Dies als auch Speicher-Dies umfassen kann. Die Dies 110 können einen Eingabe-/Ausgabe-(I/O)-Die umfassen, etwa einen breiten I/O-Die.
  • Das Bonden zwischen den Dies 110 und der Verbindungsstruktur 208 kann ein Lot-Bonden oder ein direktes Metall-Metall-Bonden (etwa Kupfer-Kupfer oder Zinn-Zinn) sein. In einer Ausführungsform werden die Dies 110 mit der Verbindungsstruktur 208 durch ein Aufschmelzverfahren gebondet. Während des Aufschmelzverfahrens sind die elektrischen Anschlussteile 210 in Kontakt mit den Bondkontaktstellen 108 und der Verbindungsstruktur 208, um die Dies 110 körperlich und elektrisch mit der Verbindungsstruktur 208 zu verbinden.
  • Ein Unterfüllungsmaterial 302 kann in dem Zwischenraum zwischen den Dies 110 und der Verbindungsstruktur 208 und die elektrischen Anschlussteile 210 umgebend eingespritzt oder anderweitig ausgebildet werden. Das Unterfüllungsmaterial 302 kann beispielsweise ein flüssiges Epoxid, ein verformbares Gel, Silizium-Kautschuk oder Ähnliches sein, das zwischen die Strukturen eingebracht wird und dann ausgehärtet wird, um hart zu werden. Dieses Unterfüllungsmaterial wird unter anderem verwendet, um Schäden an den elektrischen Anschlussteilen 210 zu verringern und sie zu schützen.
  • Nachdem die Dies 110 an dem Substrat 202 befestigt wurden, werden die Dies 110 gekapselt (Schritt 710). In einigen Ausführungsformen werden die Dies 110 durch eine Formmasse 304 gekapselt. Die Formmasse 304 kann auf den Dies 110 abgeformt werden, beispielsweise durch Formpressen. In einigen Ausführungsformen besteht die Formmasse 304 aus einer Formverbindung, einem Polymer, einem Epoxid, einem Siliziumoxid-Füllmaterial, Ähnlichem oder einer Kombination daraus. Ein Aushärteschritt kann ausgeführt werden, um die Formmasse 304 auszuhärten, wobei das Aushärten ein thermisches Aushärten, ein Ultraviolett-(UV)-Aushärten, Ähnliches oder eine Kombination daraus sein kann.
  • In einigen Ausführungsformen werden die Dies 110 in der Formmasse 304 vergraben und nach dem Aushärten der Formmasse 304 wird ein erstes Planarisierungsverfahren auf die Formmasse 304 angewendet (Schritt 712), wie in 5 gezeigt ist. In einer Ausführungsform ist das erste Planarisierungsverfahren ein Schleifverfahren, obwohl andere Techniken einschließlich Ätzen, Laser-Ablation, Polieren und Ähnliches angewendet werden können. Das erste Planarisierungsverfahren wird verwendet, um die Formmasse 304 zu planarisieren, um eine im Wesentlichen planare obere Fläche 304A der Formmasse 304 bereitzustellen. Das erste Planarisierungsverfahren entfernt einige, aber nicht alle, Formmasse 304 über den Dies 110, so dass rückseitige Oberflächen 110A der Dies immer noch in Formmasse 304 vergraben sind. In einer Ausführungsform hat die verbleibende Menge an Formmasse 304 über den rückseitigen Oberflächen 110A der Dies 110 eine Dicke T1 von mehr als etwa 30 µm, z.B. zwischen etwa 30 µm und etwa 50 µm.
  • Die Dicke T1 ist eine Dicke der Formmasse 304, die ausreicht, um den elektrostatischen Entladungsweg zwischen den Dies 110 und dem Träger-Substrat 402 zu blockieren und es auch zu ermöglichen, dass die Formmasse 304 überarbeitet wird, ohne die Dies 100 freizulegen. Nach dem ersten Planarisierungsverfahren könnte beispielsweise ein Fehler auf der Formmasse 304 gefunden werden und ein Überarbeitungsverfahren, z.B. ein Schleifverfahren, kann ausgeführt werden müssen, um den Fehler zu beseitigen. Indem mindestens 30 µm an Formmasse 304 über den rückseitigen Oberflächen 110A der Dies bleibt, werden die rückseitigen Oberflächen 110A der Dies während des Überarbeitungsverfahrens nicht freigelegt, und daher bleiben sie durch die Formmasse 304 geschützt.
  • 6 zeigt das Umdrehen des Die-Packages und das Anbringen der Oberfläche 304A der Formmasse 304 an einem Träger-Substrat 402, um es zu ermöglichen, dass eine zweite Seite des Substrats 202 bearbeitet wird. Das Träger-Substrat 402 kann jedes geeignete Substrat sein, das (während zwischengeschalteter Vorgänge des Herstellungsverfahrens) mechanischen Halt für die Komponenten und Strukturen über dem Träger-Substrat 402 bereitstellt. Das Träger-Substrat 402 kann ein Wafer sein, der Glas, Quarz, Silizium (z.B. ein Siliziumwafer), Siliziumoxid, eine Metallplatte, ein Keramikmaterial oder Ähnliches umfasst.
  • Beim Ausbilden der zweiten Seite wird ein Verdünnungsverfahren auf die zweite Seite des Substrats 202 angewendet, um das Substrat auf eine zweite Oberfläche 202B zu verdünnen, bis die TVs 206 freigelegt wurden. In einer Ausführungsform ist das Verdünnungsverfahren ein Schleifverfahren, obwohl andere Techniken einschließlich Ätzen, Laser-Ablation, Polieren und Ähnliches angewendet werden können. Eine oder mehrere dielektrische Schichten 406 können auf der zweiten Oberfläche 202B des Substrats 202 ausgebildet werden. Eine oder mehrere Metallisierungsstrukturen 406 können auf der zweiten Oberfläche 202B und in den dielektrischen Schichten 404 mittels ähnlicher Verfahren wie oben beschrieben ausgebildet werden.
  • Es werden auch elektrische Anschlussteile 408 auf der zweiten Seite des Substrats 202 ausgebildet und mit den TVs 206 elektrisch verbunden. In einigen Ausführungsformen sind die elektrischen Anschlussteile 408 Lötkugeln, Metallsäulen, C4-Bondhügel, Mikrobondhügel, ENEPIG-ausgebildete Bondhügel oder Ähnliches. Die elektrischen Anschlussteile 408 können ein leitendes Material umfassen, etwa Lot, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn, Ähnliches oder eine Kombination daraus. In einer anderen Ausführungsform sind die elektrischen Anschlussteile 408 Metallsäulen (etwa Kupfersäulen), die durch Sputtern, Drucken, Elektroplattieren, stromloses Plattieren, CVD oder Ähnliches ausgebildet werden. Die Metallsäulen können frei von Lot sein und im Wesentlichen vertikale Seitenwände haben. In einigen Ausführungsformen wird eine Metall-Deckschicht (nicht gezeigt) auf den Metallsäulen-Anschlussteilen 408 ausgebildet. Die Metall-Deckschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold, Ähnliches oder eine Kombination daraus umfassen und kann durch ein Plattierverfahren ausgebildet werden. Die elektrischen Anschlussteile 408 können verwendet werden, um mit einer zusätzlichen elektrischen Komponente gebondet zu werden, die ein Halbleitersubstrat, ein Package-Substrat, eine Leiterplatte (PCB) oder Ähnliches sein kann.
  • Während dem Ausbilden der zweiten Seite des Substrats 202 (z.B. dem Ausbilden der dielektrischen Schichten 404, der Metallisierungsstrukturen 406 und/oder der elektrischen Anschlussteile 408) können die Dies 110, das Substrat 202 und die elektrischen Anschlussteile 408 positiv aufgeladen werden, während das Träger-Substrat 402 negativ geladen werden kann, oder umgekehrt. Daher kann die Grenzfläche zwischen den Dies 110 und dem Träger-Substrat 402 ein elektrostatischer Entladungsweg sein. Die Entladung dieser elektrostatischen Ladung kann Vorrichtungen in und/oder auf den Dies 110 und dem Substrat 202 beschädigen. Indem eine Menge an Formmasse 304 belassen wird, die die rückseitigen Oberflächen 110A der Dies 110 bedeckt, bildet die Formmasse 304 eine Isolierschicht, die den elektrostatischen Entladungsweg zwischen den Dies 110 und dem Träger-Substrat 402 blockiert. Das Träger-Substrat 402 und die rückseitigen Oberflächen 110A der Dies 100 sind durch Formmasse 304 getrennt, die die Dicke T1 hat, die eine Dicke der Formmasse 304 ist, die ausreicht, um den elektrostatischen Entladungsweg zwischen den Dies 110 und dem Träger-Substrat 402 zu blockieren.
  • 7 zeigt das Aufbringen eines Schutzfilms 420 auf die zweite Seite des Substrats 202 (Schritt 714) und das Entfernen des Träger-Substrats 402. Der Schutzfilm 420 kann ein Band sein, etwa ein Backgrinding-(BG)-Band (vom UV- oder Nicht-UV-Typ), das verwendet werden kann, um die zweite Seite des Substrats 202 vor Schleifrückständen während eines nachfolgenden Planarisierungsverfahrens der Formmasse (siehe 8) zu schützen. Der Schutzfilm 420 kann über der zweiten Seite des Substrats 202 beispielsweise mittels einer Rolle (nicht gezeigt) aufgebracht werden. Der Schutzfilm 420 kann eine Dicke haben, die ausreicht, um die elektrischen Anschlussteile 408 vollständig zu bedecken, wie in 7 gezeigt ist.
  • 8 zeigt das Anwenden eines zweiten Planarisierungsverfahrens auf die Formmasse 304 (Schritt 716). In einer Ausführungsform ist das zweite Planarisierungsverfahren ein Schleifverfahren, obwohl andere Techniken einschließlich Ätzen, Laser-Ablation, Polieren und Ähnliches angewendet werden können. Das zweite Planarisierungsverfahren wird verwendet, um überschüssige Anteile der Formmasse 304 zu entfernen, wobei die überschüssigen Anteile über rückseitigen Oberflächen 110A der Dies 110 liegen. In einigen Ausführungsformen werden die rückseitigen Oberflächen 110A der Dies 110 freigelegt und sind plan mit der Oberfläche 304A der Formmasse 304.
  • In einigen Ausführungsformen können nach dem Planarisierungsverfahren die Dies 110 eine Dicke von der aktiven Oberfläche 102A zu der rückseitigen Oberfläche 110A von etwa 2,2 µm haben, verglichen mit einem Die, der das oben beschriebene Zweischritt-Formmasse-Planarisierungsverfahren nicht nutzt und der üblicherweise eine Dicke von etwa 1,05 µm hat. Ein weiterer Aspekt der Ausführungsformen der vorliegenden Offenbarung liegt in einem Unterschied in der Rauheit der Oberfläche 304A der Formmasse 304, wenn die oben beschriebenen Verfahren angewendet werden. In einigen Ausführungsformen wurde beispielsweise eine Rauheit von etwa 1 bis 3 µm beobachtet, verglichen mit einer Rauheit von etwa 0 bis etwa 1 µm, wenn das Verfahren nicht angewendet wird. Der Unterschied in der Dicke der Dies 110 und der Oberflächen-Rauheit der Formmasse 304 können zumindest teilweise darauf zurückgeführt werden, dass das Planarisierungsverfahren der zweiten Formmasse ausgeführt wird (siehe Schritt 716 oben), während der Schutzfilm 420 auf der gegenüberliegenden Seite des Die-Packages (z.B. über der zweiten Seite des Substrats 202) liegt, da der Schutzfilm 420 weicher als das Substrat 202 ist, das auf der gegenüberliegenden Seite des Die-Packages während des ersten Planarisierungsverfahrens der Formmasse ist (siehe Schritt 712 oben). Daher kann der weichere Schutzfilm 420 komprimiert werden und einen Teil des Drucks aufnehmen, der während des zweiten Planarisierungsverfahrens der Formmasse ausgeübt wird, was dazu führen kann, dass das zweite Planarisierungsverfahren der Formmasse weniger der rückseitigen Oberfläche 110A der Dies 110 verbraucht, und auch die Rauheit der Oberfläche 304A der Formmasse 304 erhöhen kann.
  • 9 zeigt das Entfernen des Schutzfilms 420 und das Anbringen einer optionalen Wärmesenke 502 auf den rückseitigen Oberflächen 110A der Dies 110 und der Oberfläche 304A der Formmasse 304. Die Wärmesenke 502 kann an den Dies 110 und der Formmasse 304 durch einen Haftfilm (nicht gezeigt) befestigt werden. Der Haftfilm kann auf der Wärmesenke 502 oder auf die rückseitigen Oberflächen 110A der Dies 110 und der Oberfläche 304A der Formmasse aufgebracht werden, so dass er eine Dicke hat, die nicht so dick ist, dass sie Wärmeabfuhr unterdrückt. Der Haftfilm kann ein Epoxid, ein Harz, Ähnliches oder eine Kombination daraus sein. Die Wärmesenke 502 kann eine Metallplatte sein. Beispielhafte Materialien für die Metallplatte sind Kupfer, nickelplattiertes Kupfer, Aluminium, Ähnliches oder eine Kombination daraus. Die Wärmesenke 502 kann im Allgemeinen eine gute Wärmeleitfähigkeit und/oder einen Wärmeausdehnungskoeffizienten (CTE) haben der mit dem CTE der Dies 110 vergleichbar ist. Die Wärmesenke 502 führt üblicherweise Wärme ab, wenn sie in dem fertiggestellten Package ist.
  • 10 zeigt das Anbringen des Die-Packages an einem Substrat 602 (Schritt 718). Das Substrat 602 kann aus einem Halbleitermaterial hergestellt sein wie Silizium, Germanium, Diamant oder Ähnlichem. Alternativ können Verbindungsmaterialien wie Silizium-Germanium, Siliziumkarbid, Galliumarsenid, Indiumarsenid, Indiumphosphid, Silizium-Germanium-Karbid, Galliumarsenid-Phosphid, Gallium-Indium-Phosphid, Kombinationen daraus und Ähnliches auch verwendet werden. Zusätzlich kann das Substrat 102 ein SOI-Substrat sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial wie epitaktischem Silizium, Germanium, Silizium-Germanium, SOI, SGOI oder Kombinationen daraus. Das Substrat 602 basiert in einer alternativen Ausführungsform auf einem isolierenden Kern, etwa einem glasfaserverstärkten Harz-Kern. Ein beispielhaftes Kernmaterial ist Glasfaser-Harz, etwa FR4. Alternativen für das Kernmaterial umfassen BT-Harz oder alternativ andere Platinen-Materialien oder -Filme. Aufbau-Filme wie ABF oder andere Laminate können für das Substrat 602 verwendet werden.
  • Das Substrat 602 kann aktive und passive Vorrichtungen umfassen (in 10 nicht gezeigt). Wie ein Fachmann erkennen wird, können eine breite Vielfalt von Vorrichtungen wie Transistoren, Kondensatoren, Widerständen, Kombinationen daraus und Ähnlichem verwendet werden, um die strukturellen und funktionalen Anforderungen des Designs für das Substrat 602 zu erzeugen. Die Vorrichtungen können durch jedes geeignete Verfahren ausgebildet werden. In einigen Ausführungsformen ist das Substrat 602 ein Package-Substrat.
  • Das Substrat 602 umfasst Bondkontaktstellen 606 auf einer ersten Seite des Substrats 602 und elektrische Anschlussteile 604 auf einer zweiten Seite des Substrats, wobei die zweite Seite der ersten Seite gegenüberliegt. Die Bondkontaktstellen 606 und die elektrischen Anschlussteile 604 können den Bondkontaktstellen 108 bzw. den elektrischen Anschlussteilen 408 ähneln, die oben beschrieben sind, und die Beschreibungen werden hier nicht wiederholt, obwohl die Bondkontaktstellen 108 und 606 und die elektrischen Anschlussteile 408 und 604 nicht identisch sein müssen.
  • Das Bonden zwischen dem Die-Package und dem Substrat 602 kann ein Lot-Bonden oder ein direktes Metall-Metall-Bonding (etwa Kupfer-Kupfer oder Zinn-Zinn) sein. In einer Ausführungsform wird das Die-Package mit dem Substrat 602 durch ein Aufschmelzverfahren gebondet. Während dieses Aufschmelzverfahrens sind die elektrischen Anschlussteile 408 in Kontakt mit den Metallisierungsstrukturen 406 und den Bondkontaktstellen 606, um das Die-Package mit dem Substrat 602 körperlich und elektrisch zu verbinden.
  • Ein Unterfüllungsmaterial 608 kann in den Zwischenraum zwischen dem Die-Package und dem Substrat 602 und die elektrischen Anschlussteile 408 umgebend eingespritzt oder anderweitig in ihm ausgebildet werden. Das Unterfüllungsmaterial 608 kann beispielsweise ein flüssiges Epoxid, ein verformbares Gel, Silizium-Kautschuk oder Ähnliches sein, das zwischen die Strukturen eingebracht wird und dann ausgehärtet wird, um hart zu werden. Dieses Unterfüllungsmaterial wird unter anderem verwendet, um Schäden an den elektrischen Anschlussteilen 408 zu verringern und sie zu schützen.
  • Indem eine Isolierschicht auf einem Die (etwa der Rückseite eines Dies) während eines Bondhügel-Ausbildungsverfahrens bereitgestellt wird, kann der Weg, durch den statische Elektrizität empfindliche Komponenten erreichen und beschädigen kann, wesentlich verringert werden oder vollständig fehlen. Als solches kann das Verfahrensfenster zur Herstellung von CoWoS-Vorrichtungen erweitert werden, was Herstellungskosten und - Komplexität senkt, während es den Ertrag des Verfahrens erhöht.
  • Während dem Ausbilden einer zweiten Seite eines Substrats (z.B. dem Ausbilden der dielektrischen Schichten, Metallisierungsstrukturen und/oder elektrischen Anschlussteile) können die Dies, die an dem Substrat befestigt sind, das Substrat selbst und die elektrischen Anschlussteile beispielsweise positiv aufgeladen werden, während ein Träger-Substrat (an der Rückseite der Dies befestigt) negativ geladen werden kann oder umgekehrt. Daher kann die Grenzfläche zwischen den Dies 110 und dem Träger-Substrat ein elektrostatischer Entladungsweg sein. Indem eine Menge an Formmasse belassen wird, die die rückseitigen Oberflächen der Dies bedeckt, bildet die Formmasse eine Isolierschicht, die den elektrostatischen Entladungsweg zwischen den Dies und dem Träger-Substrat blockiert.
  • Eine Ausführungsform besteht aus einem Verfahren, das das Anbringen eines Dies an einer oberen Fläche eines Substrats umfasst, um eine Vorrichtung auszubilden, das Kapseln des Dies und der oberen Fläche des Substrats in einer Formmasse, wobei die Formmasse eine erste Dicke über dem Die aufweist, und das Entfernen eines Teils, aber nicht der gesamten Dicke der Formmasse über dem Die. Das Verfahren umfasst die weitere Verarbeitung der Vorrichtung und das Entfernen der verbleibenden Dicke der Formmasse über dem Die.
  • Eine weitere Ausführungsform besteht aus einem Verfahren, das das Anbringen einer aktiven Oberfläche eines ersten Dies an einer ersten Seite eines ersten Substrats umfasst, um ein Die-Package auszubilden, das Kapseln des ersten Dies und der ersten Seite des Substrats mit einer Formmasse, wobei die Formmasse eine erste Dicke von einer ersten Oberfläche der Formmasse zu einer rückseitigen Oberfläche des ersten Dies hat, wobei die rückseitige Oberfläche der aktiven Oberfläche gegenüberliegt, und das Anwenden eines ersten Planarisierungsschritts auf die erste Oberfläche der Formmasse, so dass sie eine zweite Dicke von der ersten Oberfläche der Formmasse zu der rückseitigen Oberfläche des ersten Dies hat, wobei die zweite Dicke kleiner als die erste Dicke ist. Das Verfahren umfasst weiter das Anbringen der ersten Oberfläche der Formmasse an einem Träger-Substrat, das Ausbilden eines elektrischen Anschlussteils über einer zweiten Seite des ersten Substrats, das Entfernen des Träger-Substrats und das Anwenden eines zweiten Planarisierungsschritts auf die erste Oberfläche der Formmasse, um die verbleibende Formmasse über der rückseitigen Oberfläche des ersten Dies zu entfernen.
  • Eine weitere Ausführungsform besteht aus einem Verfahren, das das Anbringen eines Dies an einer ersten Oberfläche eines ersten Substrats umfasst, um ein Vorrichtungs-Package auszubilden, das Kapseln des Dies und der ersten Oberfläche des ersten Substrats mit einer Formmasse, wobei die Formmasse sich über den Die erstreckt, und das Entfernen eines Teils der Formmasse, der sich über den Die erstreckt. Das Verfahren umfasst die weitere Verarbeitung des Vorrichtungs-Packages und das Entfernen des verbleibenden Teils der Formmasse über dem Die, um eine Oberfläche des Dies freizulegen.

Claims (20)

  1. Verfahren, das Folgendes umfasst: Anbringen eines Dies (110) an einer oberen Fläche eines Substrats (202), um eine Vorrichtung auszubilden; Kapseln des Dies (110) und der oberen Fläche des Substrats (202) in einer Formmasse (304), wobei die Formmasse (304) eine erste Dicke über dem Die aufweist; Entfernen eines Teils, aber nicht der gesamten Dicke der Formmasse (304) über dem Die (110), um eine die verbleibende Dicke der Formmasse und eine plane obere Oberfläche der Formmasse bereitzustellen; weitere Verarbeitung der Vorrichtung; und Entfernen der verbleibenden Dicke der Formmasse (304) über dem Die (110).
  2. Verfahren nach Anspruch 1, wobei die weitere Verarbeitung das Ausbilden eines elektrischen Anschlussteils auf einer unteren Fläche des Substrats (202) umfasst.
  3. Verfahren nach Anspruch 2, wobei das elektrische Anschlussteil eine Flip-Chip-Verbindung ist.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die weitere Verarbeitung das Zurückschleifen einer unteren Fläche des Substrats (202) und das Ausbilden eines elektrischen Anschlussteils (408) auf der zurückgeschliffenen Oberfläche umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, das weiter das Anbringen der Vorrichtung an einem zweiten Substrat (402) umfasst.
  6. Verfahren nach Anspruch 5, wobei das zweite Substrat (402) eine Leiterplatte ist.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei nach dem Entfernen des Teils, aber nicht der gesamten Dicke der Formmasse (304) über dem Die (110) die verbleibende Dicke der Formmasse (304) größer als 30 µm ist.
  8. Verfahren nach einem der vorhergehenden Ansprüche, das weiter Folgendes umfasst: Nach dem Entfernen der verbleibenden Dicke der Formmasse (304) über dem Die (110), Verbinden einer Wärmesenke mit dem Die (110) und der Formmasse (304).
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei nach dem Entfernen der verbleibenden Dicke der Formmasse (304) über dem Die (110), der Die (110) und die Formmasse (304) Oberflächen aufweisen, die koplanar sind, die Oberflächen gegenüber dem Substrat distal sind.
  10. Verfahren, das Folgendes umfasst: Anbringen einer aktiven Oberfläche eines ersten Dies (110) an einer ersten Seite eines ersten Substrats (202), um ein Die-Package auszubilden; Kapseln des ersten Dies (110) und der ersten Seite des ersten Substrats (202) mit einer Formmasse (304), wobei die Formmasse (304) eine erste Dicke von einer ersten Oberfläche der Formmasse (304) zu einer rückseitigen Fläche des ersten Dies (110) aufweist, wobei die rückseitige Fläche der aktiven Oberfläche gegenüberliegt; Anwenden eines ersten Planarisierungsschritts auf die erste Oberfläche der Formmasse (304), so dass sie eine zweite Dicke von der ersten Oberfläche der Formmasse (304) zu der rückseitigen Fläche des ersten Dies (110) aufweist, wobei die zweite Dicke kleiner als die erste Dicke ist; Anbringen der ersten Oberfläche der Formmasse (304) an einem Träger-Substrat (402); Ausbilden eines elektrischen Anschlussteils (408) über einer zweiten Seite des ersten Substrats (202); Entfernen des Träger-Substrats (402); und Anwenden eines zweiten Planarisierungsschritts auf die erste Oberfläche der Formmasse (304), um die verbleibende Formmasse über der rückseitigen Fläche des ersten Dies (110) zu entfernen.
  11. Verfahren nach Anspruch 10, das weiter Folgendes umfasst: Ausbilden einer ersten Durchkontaktierung (206), die sich von der ersten Seite des ersten Substrats (202) in das erste Substrat erstreckt, wobei der erste Die (110) mit einem ersten Ende der ersten Durchkontaktierung (206) elektrisch verbunden ist und das elektrische Anschlussteil mit einem zweiten Ende der Durchkontaktierung (206) verbunden ist.
  12. Verfahren nach Anspruch 10, das weiter Folgendes umfasst: vor dem Anwenden des zweiten Planarisierungsschritts auf die erste Oberfläche der Formmasse (304), Ausbilden eines Schutzfilms (420) über dem elektrischen Anschlussteil (408) und über der zweiten Seite des ersten Substrats (202).
  13. Verfahren nach Anspruch 12, wobei der Schutzfilm (420) ein Backgrinding-Band ist.
  14. Verfahren nach einem der Ansprüche 10 bis 13, das weiter Folgendes umfasst: Anbringen des Die-Packages an einem zweiten Substrat (402) mittels des elektrischen Anschlussteils (408).
  15. Verfahren nach einem der Ansprüche 10 bis 14, das weiter Folgendes umfasst: Ausbilden einer Unterfüllung (302) zwischen der aktiven Oberfläche des ersten Dies (110) und der ersten Seite des ersten Substrats (202), wobei eine Seitenwand der Unterfüllung (302) direkt an die Formmasse (304) angrenzt.
  16. Verfahren nach einem der Ansprüche 10 bis 15, wobei nach dem Anwenden des zweiten Planarisierungsschritts auf die erste Oberfläche der Formmasse (304) die erste Oberfläche der Formmasse (304) und die rückseitige Fläche des ersten Dies (110) koplanar sind.
  17. Verfahren nach einem der Ansprüche 10 bis 16, das weiter das Anbringen einer aktiven Oberfläche eines zweiten Dies (110) an der ersten Seite des ersten Substrats (202) umfasst, um das Die-Package auszubilden, wobei der zweite Die seitlich an den ersten Die angrenzt, wobei nach dem Anwenden des zweiten Planarisierungsschritts auf die erste Oberfläche der Formmasse (304) ein Teil der Formmasse (304) zwischen dem ersten Die (110) und dem zweiten Die (110) verbleibt.
  18. Verfahren, das Folgendes umfasst: Anbringen eines Dies (110) an einer ersten Oberfläche eines ersten Substrats (202), um ein Vorrichtungs-Package auszubilden; Kapseln des Dies (110) und der ersten Oberfläche des ersten Substrats (202) mit einer Formmasse (304), wobei die Formmasse (304) sich über den Die erstreckt; Entfernen eines Teils der Formmasse (304), der sich über den Die (110) erstreckt, um eine die verbleibende Dicke der Formmasse (304) und eine plane obere Oberfläche der Formmasse bereitzustellen; weitere Verarbeitung des Vorrichtungs-Packages; und Entfernen des verbleibenden Teils der Formmasse (304) über dem Die (110), um eine Oberfläche des Dies (110) freizulegen.
  19. Verfahren nach Anspruch 18, wobei die weitere Verarbeitung Folgendes umfasst: Anbringen des Vorrichtungs-Packages an einem Träger-Substrat (402), wobei die Formmasse (304) das Träger-Substrat (402) von dem Die (110) trennt; Ausbilden eines leitenden Bondhügels (408) auf einer zweiten Oberfläche des ersten Substrats (202), wobei die zweite Oberfläche der ersten Oberfläche gegenüberliegt; Entfernen des Träger-Substrats (402); und Aufbringen eines Backgrinding-Bands (420) über dem leitenden Bondhügel (408) und der zweiten Oberfläche des ersten Substrats (202), wobei das Backgrinding-Band (420) über dem leitenden Bondhügel (408) liegt, während der verbleibende Teil der Formmasse (304) über dem Die (110) entfernt wird, um die Oberfläche des Dies (110) freizulegen.
  20. Verfahren nach Anspruch 19, das weiter das Anbringen des Vorrichtungs-Packages an einem zweiten Substrat (602) mittels des leitenden Bondhügels (408) umfasst.
DE102014119308.3A 2013-12-30 2014-12-21 Zweischritt-Formmasse-Schleifen für Kapselungsanwendungen Active DE102014119308B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361922002P 2013-12-30 2013-12-30
US61/922,002 2013-12-30
US14/276,832 2014-05-13
US14/276,832 US9209048B2 (en) 2013-12-30 2014-05-13 Two step molding grinding for packaging applications

Publications (2)

Publication Number Publication Date
DE102014119308A1 DE102014119308A1 (de) 2015-07-02
DE102014119308B4 true DE102014119308B4 (de) 2021-07-15

Family

ID=53372219

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014119308.3A Active DE102014119308B4 (de) 2013-12-30 2014-12-21 Zweischritt-Formmasse-Schleifen für Kapselungsanwendungen

Country Status (1)

Country Link
DE (1) DE102014119308B4 (de)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130134559A1 (en) 2011-11-30 2013-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-Wafer Structures and Methods for Forming the Same
US20130147054A1 (en) 2011-12-08 2013-06-13 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Thick Encapsulant for Stiffness with Recesses for Stress Relief in FO-WLCSP
US20130217188A1 (en) * 2012-02-16 2013-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Structures and Formation Methods of Packages with Heat Sinks
US20160284568A1 (en) 2015-03-25 2016-09-29 Rf Micro Devices, Inc. Encapsulated dies with enhanced thermal performance

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130134559A1 (en) 2011-11-30 2013-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-Wafer Structures and Methods for Forming the Same
US20130147054A1 (en) 2011-12-08 2013-06-13 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Thick Encapsulant for Stiffness with Recesses for Stress Relief in FO-WLCSP
US20130217188A1 (en) * 2012-02-16 2013-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Structures and Formation Methods of Packages with Heat Sinks
US20160284568A1 (en) 2015-03-25 2016-09-29 Rf Micro Devices, Inc. Encapsulated dies with enhanced thermal performance

Also Published As

Publication number Publication date
DE102014119308A1 (de) 2015-07-02

Similar Documents

Publication Publication Date Title
DE102017117815B4 (de) Struktur eines Halbleitergehäuses und Herstellungsverfahren
US10854567B2 (en) 3D packages and methods for forming the same
US9461020B2 (en) Semiconductor package including an embedded surface mount device and method of forming the same
DE102020101431B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102019117762B4 (de) Integriertes schaltungspackage und verfahren
US9209048B2 (en) Two step molding grinding for packaging applications
DE102020104147B4 (de) Halbleiter-bauelemente und verfahren zu deren herstellung
DE102015105855B4 (de) Halbleitergehäuse und Verfahren zu ihrer Ausbildung
US10141201B2 (en) Integrated circuit packages and methods of forming same
DE102019118492B4 (de) Eingebettete Spannungsreglerstruktur und Verfahren zum Bilden derselben und Verfahren zum Betrieb derselben
DE102018112657A1 (de) Halbleitergehäuse und verfahren zu seinem herstellen
DE102019117006A1 (de) Halbleitervorrichtung und verfahren zur herstellung
DE102019123272B4 (de) Verbindungsstruktur und Verfahren zum Bilden derselben
DE102009035437B4 (de) Halbleiterbauelement mit einem Verspannungspuffermaterial, das über einem Metallisierungssystem mit kleinem ε gebildet ist
DE102020112959A1 (de) Integriertes schaltungspackage und verfahren
TW201820464A (zh) 半導體裝置的製造方法
KR20210053233A (ko) 반도체 패키지 및 제조 방법
KR20230098518A (ko) 반도체 패키지 및 제조 방법
DE102017122831B4 (de) Gehäusestrukturen und Ausbildungsverfahren
DE102020130996A1 (de) Halbleiter-package und verfahren zu dessen herstellung
DE102021102227A1 (de) Wärmeableitung bei Halbleiter-Packages und Verfahren zum Ausbilden derselben
DE102019114984B4 (de) Package für integrierte schaltungen und verfahren
DE102021119243A1 (de) Geformte dies in halbleiterpackages und deren herstellungsverfahren
DE102020131125A1 (de) Halbleiterpaket und Verfahren zum Herstellen desselben
DE102017123326A1 (de) Halbleiter-Packages und Verfahren zu deren Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final